உள்ளே இருந்து செயலிகள். கணினி மதர்போர்டு வடிவமைப்பு உள்ளே இருந்து செயலிகள்

தற்போது, ​​பொது-நோக்க நுண்செயலி சந்தையின் முக்கிய பங்கு 32-பிட் மற்றும் 64-பிட் நுண்செயலிகளால் ஆக்கிரமிக்கப்பட்டுள்ளது. இந்த அத்தியாயம் 32-பிட் கட்டமைப்பைக் கொண்ட இன்டெல் நுண்செயலிகளை விவரிக்கிறது, இது IA-32 குடும்பத்தை (Intel Architecture-32) உருவாக்குகிறது. இந்த கட்டிடக்கலை இன்டெல்லின் 64-பிட் x86-64 கட்டமைப்பு மற்றும் AMD இன் AMD-64 கட்டமைப்பின் அடிப்படையை உருவாக்குகிறது.

2.1 பதிவேடுகளின் கலவை மற்றும் செயல்பாடுகள்

பதிவுகள் CPU க்குள் அமைந்துள்ள அதிவேக நினைவகத்தைக் குறிக்கிறது மற்றும் தரவை விரைவான சேமிப்பிற்காகவும் செயலியின் உள் கூறுகளிலிருந்து விரைவாக அணுகுவதற்காகவும் வடிவமைக்கப்பட்டுள்ளது. எடுத்துக்காட்டாக, வேகத்திற்கு நிரல் சுழல்களை மேம்படுத்தும் போது, ​​லூப்பில் அணுகப்படும் மாறிகள் நினைவகத்தில் இல்லாமல் செயலி பதிவேடுகளில் அமைந்துள்ளன. அத்தகைய அனைத்து பதிவுகளின் சேகரிப்பு சில நேரங்களில் சூப்பர்-ரேண்டம் அணுகல் நினைவகம் (SRAM) என்று அழைக்கப்படுகிறது.

2.1.1 முக்கிய பதிவுகள்

படம் 2.1 பிரதான பதிவேடுகளின் கட்டமைப்பைக் காட்டுகிறது மற்றும் அவர்களின் பெயர்கள். 8 பதிவுகள் உள்ளன பொது நோக்கம்(RON), 6 பிரிவு பதிவேடுகள், கொடி பதிவு, அறிவுறுத்தல் சுட்டிக்காட்டி பதிவு, அத்துடன் கணினி பதிவேடுகள், பிழைத்திருத்தம் மற்றும் சோதனை பதிவேடுகள்.

பொது நோக்க பதிவுகள் (RONகள்). இந்த பதிவேடுகள் முக்கியமாக எண்கணித செயல்பாடுகள் மற்றும் தரவு பரிமாற்றம் செய்ய பயன்படுத்தப்படுகின்றன. ஒவ்வொரு RONஐயும் 32-பிட் பதிவாகவோ அல்லது 16-பிட் பதிவாகவோ அணுகலாம். சில பதிவேடுகளை 8-பிட் பதிவுகளாக அணுகலாம். எடுத்துக்காட்டாக, EAX பதிவு 32-பிட் ஆகும், ஆனால் அதன் குறைந்த 16-பிட்கள் AX பதிவு என்று அழைக்கப்படுகின்றன. AX பதிவேட்டின் அதிக 8-பிட்கள் AN என்றும், குறைந்த 8-பிட்கள் AL என்றும் அழைக்கப்படுகின்றன. குறியீட்டு மற்றும் சுட்டிக்காட்டி பதிவேட்டின் 16-பிட் பகுதிகள் பொதுவாக உண்மையான முகவரி முறைக்கு நிரல்களை எழுதும் போது மட்டுமே பயன்படுத்தப்படுகின்றன, அதாவது. MS-DOS அல்லது விண்டோஸில் அதன் எமுலேஷன்.

பதிவு பெயர் சுருக்கங்களின் பொருள்:

பதிவு பெயர்களின் தொடக்கத்தில் உள்ள E முன்னொட்டு விரிவடைகிறது.

பதிவேடு பெயர்களின் முடிவில் உள்ள போஸ்ட்ஃபிக்ஸ் X என்பது, உண்மையில், பதிவு என்று பொருள்.

AX - குவிப்பான் (இயக்கி).

BX - அடிப்படை பதிவு.

CX - கவுண்டர்.

DX - தரவு.

AL - குவிப்பான் குறைவு (AX பதிவேட்டின் குறைந்த பாதி).

AH – குவிப்பான் உயர் (பதிவு AX இன் உயர் பாதி).

BL – பேஸ் லோ (BX பதிவேட்டின் குறைந்த பாதி).

BH - அடிப்படை உயர் (BX பதிவேட்டில் அதிக பாதி).

CL - கவுண்டர் லோ (CX பதிவேட்டின் குறைந்த பாதி).

CH - எதிர் உயர் (CX பதிவேட்டின் உயர் பாதி).

DL - டேட்டா குறைவு (DX பதிவேட்டில் பாதி).

DH - தரவு உயர் (DX பதிவேட்டில் அதிக பாதி).

SI - மூலக் குறியீடு. தற்போதைய மூல முகவரியைக் கொண்டுள்ளது.

DI - இலக்கு குறியீடு. தற்போதைய பெறுநரின் முகவரியைக் கொண்டுள்ளது.

பிபி - அடிப்படை சுட்டி. அடுக்கின் உள்ளே உள்ள தரவை சீரற்ற அணுகலுக்காக

SP - ஸ்டாக் பாயிண்டர். அடுக்கின் மேல் ஒரு சுட்டியைக் கொண்டுள்ளது.

CS - குறியீடு பிரிவு.

DS - தரவுப் பிரிவு.

ES - கூடுதல் தரவுப் பிரிவு (கூடுதல் தரவுப் பிரிவு).

FS - விரிவாக்கப்பட்ட தரவுப் பிரிவு (கூடுதல் தரவுப் பிரிவு).

GS - விரிவாக்கப்பட்ட தரவுப் பிரிவு (கூடுதல் தரவுப் பிரிவு).

SS - அடுக்கு பிரிவு.

ஐபி - அறிவுறுத்தல் சுட்டிக்காட்டி (அறிவுறுத்தல் சுட்டிக்காட்டி அல்லது கட்டளை கவுண்டர்).

எஃப் - கொடிகள் (கொடி பதிவு).

GDTR - உலகளாவிய விளக்க அட்டவணை பதிவு.

IDTR – குறுக்கீடு விளக்க அட்டவணைப் பதிவு.

டிஆர் - பணிப் பதிவு.

LDTR - உள்ளூர் விளக்க அட்டவணை பதிவு.

DR - பிழைத்திருத்த பதிவு.

டிஆர் - சோதனை பதிவு.

CR - கட்டுப்பாட்டு பதிவு.

பதிவேடுகளைப் பயன்படுத்துவதற்கான அம்சங்கள். செயலி கட்டளைகளை செயல்படுத்தும் போது, ​​சில பொது-நோக்கு பதிவேடுகள் ஒரு சிறப்பு நோக்கம் கொண்டவை.

    பெருக்கல் மற்றும் வகுத்தல் வழிமுறைகளை செயல்படுத்தும் போது EAX பதிவேட்டின் உள்ளடக்கங்கள் தானாகவே பயன்படுத்தப்படும். இந்த பதிவு பொதுவாக எண்கணித வழிமுறைகளை செயல்படுத்துவதோடு தொடர்புடையது என்பதால், இது பெரும்பாலும் அழைக்கப்படுகிறது நீட்டிக்கப்பட்ட குவிப்பான் பதிவு (விரிவடைந்தது திரட்டி).

    ESX பதிவேடு தானாகவே செயலியால் லூப் கவுண்டராகப் பயன்படுத்தப்படுகிறது.

    ESP பதிவேடு அடுக்கில் சேமிக்கப்பட்ட தரவை அணுகுகிறது. அடுக்கி வைக்கவும்- இது ஒரு கணினி நினைவகப் பகுதி, FILO (முதல் உள்ளீடு - கடைசி வெளியீடு) "முதலில் எழுதப்பட்டது, கடைசியாகப் படித்தது" கொள்கையின்படி அணுகப்படுகிறது. இந்த பதிவேடு பொதுவாக சாதாரண எண்கணித செயல்பாடுகள் மற்றும் தரவு பரிமாற்ற வழிமுறைகளை செய்ய பயன்படுத்தப்படாது. இது அடிக்கடி நீட்டிக்கப்பட்ட ஸ்டாக் பாயிண்டர் பதிவு என்று அழைக்கப்படுகிறது (விரிவடைந்தது அடுக்கு சுட்டி). ESP பதிவேடு அடுக்கின் மேற்புறத்தின் முகவரியைக் குறிக்கிறது (அடுத்த மாறி PUSH கட்டளையால் எழுதப்படும் முகவரி).

    ESI மற்றும் EDI பதிவேடுகள் பொதுவாக ஒரு நினைவக இடத்திலிருந்து மற்றொரு இடத்திற்கு அதிவேக தரவு பரிமாற்ற கட்டளைகளுக்குப் பயன்படுத்தப்படுகின்றன. அதனால்தான் அவர்கள் சில நேரங்களில் அழைக்கப்படுகிறார்கள் நீட்டிக்கப்பட்ட மூல குறியீட்டு பதிவுகள்மற்றும் பெறுபவர்தகவல்கள் (விரிவடைந்தது ஆதாரம் குறியீட்டு மற்றும் விரிவடைந்தது இலக்கு குறியீட்டு). ESI பதிவேடு என்பது மூல முகவரி மற்றும் "மூவ் பிளாக்" செயல்பாட்டிற்கான தகவல் தொகுதியின் தொடக்கத்தின் முகவரியைக் கொண்டுள்ளது (முழு முகவரி DS:ESI), மற்றும் EDI பதிவு என்பது இலக்கு முகவரி (முழு முகவரி ES:EDI).

    EBP பதிவேடு பொதுவாக உயர்நிலை நிரலாக்க மொழி தொகுப்பாளர்களால் செயல்பாட்டு அளவுருக்களை அணுகவும் மற்றும் அடுக்கில் ஒதுக்கப்பட்ட உள்ளூர் மாறிகளைக் குறிப்பிடவும் பயன்படுத்தப்படுகிறது. அனுபவம் வாய்ந்த புரோகிராமர்களின் சிறப்பு நிரலாக்க நுட்பங்களைத் தவிர, சாதாரண எண்கணித செயல்பாடுகளைச் செய்யவோ அல்லது தரவை நகர்த்தவோ இது பயன்படுத்தப்படக்கூடாது. இது பெரும்பாலும் நீட்டிக்கப்பட்ட பதிவு என்று அழைக்கப்படுகிறது அடுக்கு சட்ட சுட்டி (விரிவடைந்தது சட்டகம் சுட்டி). EBP பதிவேட்டில் தகவல் (அல்லது அடுக்கின் "ஆழம்") சேர்க்கப்படும் அல்லது ஸ்டேக்கில் இருந்து எடுக்கப்பட்ட முகவரி உள்ளது. செயல்பாட்டு அளவுருக்கள் EBP உடன் தொடர்புடைய நேர்மறையான மாற்றத்தைக் கொண்டுள்ளன, உள்ளூர் மாறிகள் எதிர்மறையான மாற்றத்தைக் கொண்டுள்ளன, மேலும் இந்த நினைவகப் பகுதியின் முழு முகவரி SS:EBP பதிவு ஜோடியில் சேமிக்கப்படுகிறது.

    EIP பதிவு அறிவுறுத்தல் முகவரியின் ஆஃப்செட்டைச் சேமிக்கிறது. அடுத்த இயங்கக்கூடிய கட்டளைக்கான முழு முகவரி CS:EIP பதிவு ஜோடியில் சேமிக்கப்படுகிறது.

    ESP, EBP, ESI, EDI பதிவுகள் தரவு முகவரி ஆஃப்செட்டைச் சேமிக்கின்றன.

பிரிவு பதிவுகள். RAM இன் முன் ஒதுக்கப்பட்ட பகுதிகளை அணுகும்போது இந்த பதிவேடுகள் அடிப்படை பதிவேடுகளாகப் பயன்படுத்தப்படுகின்றன, அவை அழைக்கப்படுகின்றன பிரிவுகள்.மூன்று வகையான பிரிவுகள் உள்ளன, அதன்படி, பிரிவு பதிவேடுகள்:

    குறியீடு (CS), அவை செயலி வழிமுறைகளை மட்டுமே சேமிக்கின்றன, அதாவது. நிரலின் இயந்திர குறியீடு;

    தரவு (DS, ES, FS மற்றும் GS), அவை நிரல் மாறிகள் மற்றும் தரவுகளுக்காக ஒதுக்கப்பட்ட நினைவகப் பகுதிகளைச் சேமிக்கின்றன;

    அடுக்கு (SS), அவை கணினி நினைவகப் பகுதியைச் சேமிக்கின்றன அடுக்கி,இதில் உள்ளூர் (தற்காலிக) நிரல் மாறிகள் மற்றும் அவை அழைக்கப்படும் போது செயல்பாடுகளுக்கு அனுப்பப்படும் அளவுருக்கள் விநியோகிக்கப்படுகின்றன.

பிரிவு பதிவேடுகள் செக்மென்ட் தேர்வாளர்களுடன் ஏற்றப்படுகின்றன, அவை குளோபல் டிஸ்கிரிப்டர் டேபிள் (ஜிடிடி) அல்லது லோக்கல் டிஸ்கிரிப்டர் டேபிள் (எல்டிடி) ஆகியவற்றிலிருந்து ஆஃப்செட் ஆகும்.

பிரிவு பதிவு பிட்களில் பின்வரும் தகவல்கள் உள்ளன:

0 - 1. RPL - கோரப்பட்ட சிறப்புரிமை நிலை. கோரப்பட்ட சலுகைகளின் நிலை.

2. TI - அட்டவணை காட்டி. காட்டி அட்டவணை பிட். குறிப்பிட்ட தேர்வியை எங்கு தேட வேண்டும் என்று செயலிக்கு கூறுகிறது. பிட் அமைக்கப்பட்டால், செயலி உள்ளூர் விளக்க அட்டவணையில் இருந்து தேர்வியைப் படிக்கும். பிட் தெளிவாக இருந்தால், செயலி உலகளாவிய விளக்க அட்டவணையில் இருந்து தேர்வாளரைப் படிக்கும்.

3 - 15. இந்த பிட்கள் உலகளாவிய அல்லது உள்ளூர் விளக்க அட்டவணையின் குறியீட்டை சேமிக்கின்றன.

குறியீடு பிரிவை வைத்திருக்க CS பதிவு மிகவும் சிறியதாக உள்ளது, எனவே அது இயங்கக்கூடிய பிரிவில் அமைக்கப்பட வேண்டும். SS பதிவேடு ஒரு அடுக்கு பிரிவை வைத்திருக்க மிகவும் சிறியதாக உள்ளது, எனவே இது எழுதக்கூடிய தரவுப் பிரிவில் அமைக்கப்பட வேண்டும்.

CS பிரிவு பதிவேட்டில் அமைக்கப்பட்டுள்ள சிறப்புரிமை நிலை, இயங்கும் நிரலின் சிறப்புரிமை நிலை, இது தற்போதைய சிறப்புரிமை நிலை (CPL - தற்போதைய சலுகை நிலை) என அழைக்கப்படுகிறது. பிரிவு பதிவுகள் DS, ES, FS, GS தரவுக்கானது மற்றும் எழுத அனுமதியுடன் தரவுப் பிரிவில் அமைக்கப்பட வேண்டும். இந்தத் தேர்வாளர்கள் ஒவ்வொருவருக்கும் தேவைப்படும் சிறப்புரிமை நிலைகள் தற்போதைய CPL சிறப்புரிமை அளவை விட அதிகமாக இருக்க வேண்டும்.

ஆறு பிரிவு பதிவேடுகளில் ஒவ்வொன்றுடனும் தொடர்புடையது நிழல் விளக்கப் பதிவேடுகள். பாதுகாக்கப்பட்ட பயன்முறையில், பிரிவின் 32-பிட் அடிப்படை முகவரி, 20-பிட் வரம்பு மற்றும் விளக்க அட்டவணையில் இருந்து பண்புக்கூறுகள் (அணுகல் உரிமைகள்) நிழல் பதிவேடுகளில் மேலெழுதப்படும்.

கட்டளை சுட்டி பதிவு. EIP பதிவேட்டில் அடுத்த செயல்பாட்டின் நிரல் (ஆஃப்செட்) தொடக்கத்தில் இருந்து ஆஃப்செட் சேமிக்கப்படுகிறது. இந்த பதிவேட்டின் உள்ளடக்கங்களை பாதிக்கும் பல வழிமுறைகளை செயலி கொண்டுள்ளது. EIP பதிவேட்டில் சேமிக்கப்பட்ட முகவரியை மாற்றுவதன் மூலம், நிரலின் புதிய பகுதிக்கு கட்டுப்பாடு மாற்றப்படும்.

கொடி பதிவு இ.எஃப். (EFLAGZ) . இந்த பதிவேட்டின் பிட்கள் கொடிகள் என்று அழைக்கப்படுகின்றன மற்றும் சில CPU கட்டளைகளின் குறிப்பிட்ட செயல்பாட்டிற்கு பொறுப்பாகும் அல்லது ALU அலகு மூலம் கட்டளை செயல்படுத்தலின் முடிவை பிரதிபலிக்கிறது. பதிவு கொடிகள் அட்டவணை 2.1 இல் பட்டியலிடப்பட்டுள்ளன. 22 முதல் 31 வரை உள்ள பிட்கள் ஒதுக்கப்பட்டுள்ளன.

அட்டவணை 2.1 கொடி பதிவு

இந்த பதிவேட்டின் பிட்களை பகுப்பாய்வு செய்ய, சிறப்பு செயலி வழிமுறைகள் வழங்கப்படுகின்றன. கொடி என்கிறார்கள் நிறுவப்பட்ட,கொடி பிட் 1 ஆக இருக்கும் போது, ​​அந்த கொடி மீட்டமை,அதன் பிட் மதிப்பு 0. கூடுதலாக, நீட்டிக்கப்பட்ட EF பதிவேட்டில் F பதிவேட்டுடன் ஒப்பிடும்போது ஐந்து புதிய கொடிகள் உள்ளன.

கட்டுப்பாட்டு கொடிகள்.கட்டுப்பாட்டு கொடிகளுடன் தொடர்புடைய EFLAGS பதிவு பிட்களின் நிலையை சிறப்பு செயலி கட்டளைகளைப் பயன்படுத்தி புரோகிராமர் மாற்றலாம். இந்த கொடிகள் (DF, IF, TF) சில CPU கட்டளைகளை செயல்படுத்துவதைக் கட்டுப்படுத்துகிறது:

8. TF - பொறி கொடி. ட்ரேஸ் கொடி (படி முறை). அது அமைக்கப்படும் போது (TF=1), ஒவ்வொரு கட்டளையும் செயல்படுத்தப்பட்ட பிறகு, உள் குறுக்கீடு INT 1 அழைக்கப்படுகிறது, இது கணினி செயல்முறையை நிறுத்துகிறது, இது பதிவேடுகளின் உள்ளடக்கங்களை சரிபார்க்கிறது.

9. IF - குறுக்கீடு-இயக்கு கொடி. குறுக்கீடு செயல்படுத்த கொடி. IF=1 ஆக இருக்கும் போது, ​​முகமூடி வன்பொருள் குறுக்கீடுகள் இயக்கப்படும். IF=0 - தடைசெய்யப்பட்ட போது.

10. DF - திசைக் கொடி. சரம் கட்டளைகளில் வரிசை செயலாக்கத்தின் திசையை கட்டுப்படுத்த கொடியிடவும். DF=1 ஆனது, சரம் கட்டளைகளில் பங்குகொள்ளும் SI, DI இன் இன்டெக்ஸ் பதிவுகள், operand bytes எண்ணிக்கையால் தானாகவே குறைக்கப்பட்டு, அதன் மூலம் சரங்களை முடிவில் இருந்து ஆரம்பம் வரை செயலாக்குகிறது. DF=0 - அவை அதிகரிக்கப்பட்டு, வரியின் தொடக்கத்திலிருந்து இறுதி வரை செயலாக்கப்படும்.

நிலை கொடிகள். இந்த கொடிகள் எண்கணிதம் மற்றும் தருக்க CPU வழிமுறைகளை செயல்படுத்துவதன் விளைவாக பல்வேறு பண்புகளை பிரதிபலிக்கின்றன:

0. CF - கொடியை எடுத்துச் செல்லுங்கள். கையொப்பமிடப்படாத எண்கணிதச் செயல்பாட்டைச் செய்யும்போது, ​​பிட் ஆழம் அதற்கு ஒதுக்கப்பட்ட முடிவு புலத்தின் பிட் அகலத்தை விட அதிகமாக இருக்கும் எண் பெறப்பட்டால், கேரிக் கொடி அமைக்கப்படும். ஷிப்ட் வழிமுறைகளில், CF கொடி மிகவும் குறிப்பிடத்தக்க பிட்டின் மதிப்பை சரிசெய்கிறது.

2. பிஎஃப் - பாரிட்டி கொடி. முடிவு இரட்டை எண்ணிக்கையைக் கொண்டிருக்கும்போது சம/சமநிலைக் கொடி அமைக்கப்படும்.

4. AF - துணை கேரி. மிகக் குறைந்த டெட்ராடிலிருந்து மிக உயர்ந்த இடத்திற்கு (மூன்றாவது முதல் நான்காவது இலக்கம் வரை) கூடுதல் பரிமாற்றம்/கடன் வாங்குவதற்கான கொடி. 8-பிட் தரவை செயலாக்குவதற்கான கட்டளைகளில் பயன்படுத்தப்படுகிறது, பெரும்பாலும் BCD எண்கள்.

6. ZF - ஜீரோ கொடி. ஒரு எண்கணிதம் அல்லது தருக்க செயல்பாடு பூஜ்ஜியமாக இருக்கும் எண்ணை உருவாக்கினால் பூஜ்ஜியக் கொடி அமைக்கப்படும் (அதாவது, முடிவின் அனைத்து பிட்களும் 0 ஆகும்).

7. SF - அடையாளம் கொடி. குறிக் கொடியானது, முடிவின் மிக முக்கியமான பிட்டின் மதிப்பை நகலெடுக்கிறது. நேர்மறையான முடிவுக்கு SF=0, எதிர்மறை முடிவுக்கு SF=1.

11. OF – வழிதல் கொடி. நிரம்பி வழியும் கொடி , அல்லது ) கையொப்பமிடப்பட்ட எண்கணித செயல்பாட்டைச் செய்யும்போது, ​​ஒரு எண் பெறப்பட்டால், அதன் இலக்கத் திறன் அதற்கு ஒதுக்கப்பட்ட முடிவு புலத்தின் இலக்கத் திறனை விட அதிகமாக இருந்தால் அமைக்கப்படும்.

கொடிகள் EF பதிவேட்டில் சேர்க்கப்பட்டது:

12-13. IOPL - I/O சலுகை நிலை. I/O சலுகை நிலை கொடி. பணியின் சிறப்புரிமையைப் பொறுத்து I/O கட்டளைகளுக்கான அணுகலைக் கட்டுப்படுத்த நுண்செயலி செயல்பாட்டின் பாதுகாக்கப்பட்ட பயன்முறையில் பயன்படுத்தப்படுகிறது.

14. NT - உள்ளமை பணிக் கொடி. பணி கூடு கட்டுதல் கொடி. நுண்செயலி செயல்பாட்டின் பாதுகாக்கப்பட்ட பயன்முறையில் ஒரு பணி மற்றொன்றிற்குள் உள்ளமைக்கப்பட்டுள்ளது என்ற உண்மையைப் பதிவு செய்யப் பயன்படுகிறது.

16. RF - ரெஸ்யூம் கொடி. மீண்டும் கொடி. பிழைத்திருத்த பயன்முறையில், ஒற்றை RF மதிப்பு, குறுக்கீடுகளுக்குப் பிறகு கட்டளையை மறுதொடக்கம் செய்ய அனுமதிக்கிறது. பிழைத்திருத்த முறிவுப் பதிவேடுகளுடன் இணைந்து பயன்படுத்தப்படுகிறது.

17. VM - மெய்நிகர் பயன்முறை கொடி. மெய்நிகர் பயன்முறை கொடி. நுண்செயலி மெய்நிகர் 8086 பயன்முறையில் இயங்குகிறது என்பதற்கான அறிகுறி 1 – செயலி மெய்நிகர் 8086 பயன்முறையில் இயங்குகிறது; 0 - செயலி உண்மையான அல்லது பாதுகாக்கப்பட்ட பயன்முறையில் இயங்குகிறது.

18. ஏசி - சீரமைப்பு சோதனை. சீரமைப்பு கட்டுப்பாடு ஏற்படும் செயலி இயக்க முறையின் கொடி. சிறப்பு நிலை 3 இல் மட்டுமே பயன்படுத்தப்படுகிறது. AC=1 மற்றும் AM=1 (AM என்பது கட்டுப்பாட்டுப் பதிவேட்டில் CR0) எனில், பொருத்தமான வரம்புக்கு (2 அல்லது 4 அல்லது 8) பைட்டுகளுக்குச் சீரமைக்கப்படாத ஒரு செயலி அணுகப்பட்டால், விதிவிலக்கு 17 தூக்கி எறியப்படும் 2,4,8 வரம்புகளுக்கு operand ஐ சீரமைப்பது என்பது operand இன் முகவரி முறையே 2,4,8 இன் பெருக்கல் ஆகும்.

19. VIF - மெய்நிகர் குறுக்கீடு கொடி. செயலி செயல்பாட்டின் மெய்நிகர் பயன்முறையில் குறுக்கீடு செயல்படுத்த கொடி.

20. விஐபி - மெய்நிகர் குறுக்கீடு நிலுவையில் உள்ளது. செயலி செயல்பாட்டின் மெய்நிகர் பயன்முறையில் குறுக்கீடு தாமதக் கொடி.

21. ஐடி - CPU அடையாளம். செயலி அடையாளக் கொடி. செயலி CPUID அறிவுறுத்தலை ஆதரித்தால் கொடியை மாற்றலாம்.

கட்டுப்பாட்டு பதிவேடுகளில் CR0-CR3 அனைத்து பணிகளுக்கும் பொதுவான செயலி நிலையின் குறிகாட்டிகளை சேமிக்கிறது. CR0 பதிவேட்டில் பின்வரும் பிட்கள் உள்ளன:

0. PE – Protection Enable. பாதுகாக்கப்பட்ட பயன்முறைக் கொடி. PE=1 எனில், செயலி இயக்க முறை பாதுகாக்கப்படும்.

1. MP - கணிதம் தற்போது. ஒரு கணித கோப்ராசசரின் இருப்பின் கொடி. MP=1 எனில், கணித இணைசெயலி உள்ளது.

2. EM - எண் நீட்டிப்பைப் பின்பற்றவும். மிதக்கும் புள்ளி எண்களின் மீது கட்டளைகளைப் பின்பற்றுவதற்கான கொடி. கொடி அமைக்கப்படும் போது, ​​உண்மையான எண்களுடன் பணிபுரியும் கட்டளைகளை மென்பொருளில் பின்பற்றலாம்.

3. TS - பணி மாறியது. பணி மாறுதல் கொடி. பணி மாறிய பிறகு கொடி அமைக்கப்படுகிறது.

4. ET - நீட்டிப்பு வகை. நீட்டிப்பு வகை கொடி. 387 அல்லது அதற்கு மேற்பட்ட எண்கணித கோப்ராசசர் இருந்தால் அமைக்கவும்.

5. NE - எண் பிழை இயக்கு. கோப்ராசசர் பிழை கொடியை இயக்குகிறது. கொடி அமைக்கப்பட்டால், கோப்ராசசரில் பிழை ஏற்பட்டால், விதிவிலக்கு சூழ்நிலை 16 உருவாக்கப்படும்.

16. WP - Write Protect. பாதுகாப்புக் கொடியை எழுதுங்கள். கொடி அமைக்கப்பட்டால், இயக்க முறைமை கர்னலுக்கு நினைவகப் பக்கங்கள் படிக்க மட்டும் பயன்முறையில் ஒதுக்கப்படும்.

18. AM - சீரமைப்பு மாஸ்க். சீரமைப்பு மறைக்கும் கொடி. கொடி அமைக்கப்படும் போது, ​​சீரமைக்கப்படாத செயல்களை அணுகினால் விதிவிலக்கு 17 உருவாக்கப்படும். கொடி அழிக்கப்பட்டால், விதிவிலக்கு மறைக்கப்படும்.

29. NW - எழுதுவது அல்ல. எழுதுவதைத் தடை செய்வதற்கான கொடி.

30. குறுவட்டு - கேச் முடக்கு. தேக்ககக் கொடியை முடக்கு.

31. பிஜி - பேஜிங் இயக்கு. நினைவக பேஜிங் பயன்முறை கொடி. கொடி அமைக்கப்பட்டால், இயக்க முறைமை நினைவக பேஜிங் பயன்முறையில் இயங்குகிறது.

CR0 பதிவேட்டின் மீதமுள்ள பிட்கள் ஒதுக்கப்பட்டுள்ளன.

பதிவு CR1 ஒதுக்கப்பட்டுள்ளது. CR2 பதிவேட்டில் நினைவகப் பக்க பிழை ஏற்பட்ட 32-பிட் நேரியல் முகவரியைச் சேமிக்கிறது. CR3 பதிவேட்டின் மிக முக்கியமான 20 பிட்கள் பக்க அடைவு அட்டவணையின் இயற்பியல் அடிப்படை முகவரி மற்றும் கேச் கட்டுப்பாட்டு பிட்களை சேமிக்கின்றன. CR4 பதிவேட்டில் MP கட்டடக்கலை நீட்டிப்பு செயல்படுத்தும் பிட்கள் உள்ளன. பொதுவாக, இந்த பதிவேடுகள் கணினி நிரலாக்கத்தில் பயன்படுத்தப்படுகின்றன மற்றும் செயலியின் இயக்க முறைமை (சாதாரண, பாதுகாக்கப்பட்ட, முதலியன), மெமரி பேஜிங் போன்றவை.

கணினி முகவரி பதிவுகள். உலகளாவிய விளக்க அட்டவணை GDTR மற்றும் குறுக்கீடு அட்டவணை IDTR ஆகியவற்றின் கணினி சுட்டிக்காட்டி பதிவுகள் முறையே 32-பிட் அடிப்படை முகவரிகள் மற்றும் 16-பிட் அட்டவணை வரம்புகளை சேமிக்கின்றன. TR மற்றும் லோக்கல் டிஸ்கிரிப்டர் டேபிள் LDTR சிஸ்டம் செக்மென்ட் ரெஜிஸ்டர்கள் 16-பிட் தேர்வாளர்கள். இவை 32-பிட் பிரிவு அடிப்படை முகவரி, 20-பிட் வரம்பு மற்றும் அணுகல் அனுமதி பைட் ஆகியவற்றைக் கொண்டிருக்கும் நிழல் விளக்கப் பதிவேடுகளுடன் ஒத்துப்போகின்றன.

பிழைத்திருத்தப் பதிவேடுகளில் DR0-DR3 பிழைத்திருத்த பயன்முறையில் 32-பிட் பிரேக்பாயிண்ட் முகவரிகளைக் கொண்டுள்ளது; DR4-DR5 ஒதுக்கப்பட்டுள்ளது மற்றும் பயன்படுத்தப்படவில்லை; DR6 குறிப்பு புள்ளியின் நிலையை காட்டுகிறது; DR7 - திட்டத்தில் கட்டுப்பாட்டு புள்ளிகளின் இடத்தை நிர்வகிக்கிறது.

சோதனை பதிவுகள் மாதிரி-குறிப்பிட்ட பதிவேடுகளின் குழுவில் TR சேர்க்கப்பட்டுள்ளது, அவற்றின் கலவை மற்றும் எண்ணிக்கை செயலியின் வகையைப் பொறுத்தது: MP 386 இயக்க முறைமையின் நினைவக ஒதுக்கீட்டின் பேஜிங் அமைப்பைக் கட்டுப்படுத்த இரண்டு பதிவுகள் TR6 மற்றும் TR7 ஐப் பயன்படுத்துகிறது. பென்டியம் II மற்றும் அதிக பயன்பாடு பன்னிரெண்டு பதிவுகள் TR1-TR12. இந்த பதிவேடு குழுவில் MP மற்றும் கேச் நினைவக சோதனை முடிவுகளும் உள்ளன.

கட்டுரை "64 பிட்கள்" என்ற வார்த்தையின் பொருளை வெளிப்படுத்துகிறது. கட்டுரை 64-பிட் அமைப்புகளின் வளர்ச்சியின் வரலாற்றை சுருக்கமாக ஆராய்கிறது, இன்டெல் 64 கட்டமைப்பு மற்றும் 64-பிட் விண்டோஸ் இயக்க முறைமையின் தற்போது மிகவும் பொதுவான 64-பிட் செயலிகளை விவரிக்கிறது.

அறிமுகம்

கம்ப்யூட்டிங் கட்டமைப்பின் கட்டமைப்பிற்குள், "64-பிட்" என்ற சொல் 64-பிட் முழு எண்கள் மற்றும் 64 பிட் அளவுள்ள பிற தரவு வகைகளைக் குறிக்கிறது. "64-பிட்" அமைப்புகள் 64-பிட் நுண்செயலி கட்டமைப்புகளை (எ.கா., EM64T, IA-64) அல்லது 64-பிட் இயக்க முறைமைகளைக் குறிக்கலாம் (எ.கா., Windows XP Professional x64 பதிப்பு). 64-பிட் நிரல் குறியீட்டை உருவாக்கும் கம்பைலர்களைப் பற்றி நாம் பேசலாம்.

இந்தக் கட்டுரை 64-பிட் தொழில்நுட்பங்கள் தொடர்பான பல்வேறு சிக்கல்களைப் பற்றி விவாதிக்கும். கட்டுரை 64-பிட் நிரல்களை உருவாக்கத் தொடங்க விரும்பும் புரோகிராமர்களுக்காக வடிவமைக்கப்பட்டுள்ளது, மேலும் இது விண்டோஸ் டெவலப்பர்களை இலக்காகக் கொண்டது, ஏனெனில் அவர்களுக்கு 64-பிட் அமைப்புகளைப் பற்றி அறிந்து கொள்வது மிகவும் பொருத்தமானது.

64-பிட் அமைப்புகளின் வரலாறு

64-பிட் சமீபத்தில் தான் பெரும்பாலான பயனர்கள் மற்றும் பயன்பாட்டு புரோகிராமர்களின் வாழ்க்கையில் நுழைந்துள்ளது. இருப்பினும், 64-பிட் தரவுகளுடன் பணிபுரிவது நீண்ட வரலாற்றைக் கொண்டுள்ளது.

1961: ஐபிஎம் 64-பிட் தரவு வார்த்தைகள், 32-பிட் அல்லது 64-பிட் இயந்திர வழிமுறைகளைப் பயன்படுத்தும் ஐபிஎம் 7030 ஸ்ட்ரெச் சூப்பர் கம்ப்யூட்டரை வெளியிட்டது.

1974: கண்ட்ரோல் டேட்டா கார்ப்பரேஷன் CDC Star-100 வெக்டர் சூப்பர் கம்ப்யூட்டரை அறிமுகப்படுத்தியது, இது 64-பிட் வார்த்தை கட்டமைப்பைப் பயன்படுத்துகிறது (முந்தைய CDC அமைப்புகள் 60-பிட் கட்டமைப்பைக் கொண்டிருந்தன).

1976: க்ரே ரிசர்ச் முதல் சூப்பர் கம்ப்யூட்டரான க்ரே-1 ஐ வெளியிட்டது, இது 64-பிட் சொல் கட்டமைப்பை செயல்படுத்துகிறது மற்றும் அனைத்து அடுத்தடுத்த க்ரே வெக்டர் சூப்பர் கம்ப்யூட்டர்களுக்கும் அடிப்படையாக செயல்படும்.

1985: யூனிக்ஸ் இயங்குதளத்தின் முதல் 64-பிட் செயலாக்கமான யுனிகோஸை க்ரே வெளியிட்டது.

1991: MIPS டெக்னாலஜிஸ் முதல் 64-பிட் செயலியான R4000 ஐ உற்பத்தி செய்தது, இது நிறுவனத்தின் MIPS கட்டமைப்பின் மூன்றாவது மாற்றத்தை செயல்படுத்துகிறது. IRIS கிரிம்சன் மாடலில் தொடங்கி SGI கிராபிக்ஸ் பணிநிலையங்களில் இந்த செயலி பயன்படுத்தப்படுகிறது. கெண்டல் ஸ்கொயர் ரிசர்ச் அவர்களின் முதல் சூப்பர் கம்ப்யூட்டரான KSR1 ஐ வெளியிடுகிறது, இது OSF/1 இயங்குதளத்தில் இயங்கும் அவர்களின் தனியுரிம 64-பிட் RISC கட்டமைப்பில் கட்டமைக்கப்பட்டது.

1992: டிஜிட்டல் எக்யூப்மென்ட் கார்ப்பரேஷன் (DEC) அனைத்து-64-பிட் ஆல்பா கட்டமைப்பை அறிமுகப்படுத்தியது, இது PRISM திட்டத்தின் மூளையாகும்.

1993: DEC ஆனது 64-பிட் யூனிக்ஸ் போன்ற இயங்குதளத்தை DEC OSF/1 AXP (பின்னர் Tru64 UNIX என மறுபெயரிடப்பட்டது) அதன் ஆல்பா கட்டிடக்கலை அமைப்புகளுக்காக வெளியிட்டது.

1994: இன்டெல் அவர்களின் 32-பிட் IA-32 செயலிகளுக்கு அடுத்தபடியாக 64-பிட் IA-64 கட்டமைப்பை (Hewlett-Packard உடன்) உருவாக்கும் திட்டத்தை அறிவித்தது. வெளியீட்டு தேதி 1998-1999 என நிர்ணயிக்கப்பட்டுள்ளது. SGI R8000 சிப்செட்டிற்கான 64-பிட் ஆதரவுடன் IRIX 6.0 ஐ வெளியிடுகிறது.

1995: சன் 64-பிட் SPARC UltraSPARC குடும்பச் செயலியை அறிமுகப்படுத்தியது. Fujitsu இன் துணை நிறுவனமான HAL கம்ப்யூட்டர் சிஸ்டம்ஸ், HAL ஆல் சுயாதீனமாக உருவாக்கப்பட்ட முதல் தலைமுறை 64-பிட் SPARC64 செயலியின் அடிப்படையில் பணிநிலையங்களை அறிமுகப்படுத்துகிறது. IBM ஆனது A10 மற்றும் A30 நுண்செயலிகளையும், 64-பிட் PowerPC AS செயலிகளையும் உருவாக்குகிறது. இயக்க முறைமை, தரவுத்தளங்கள் மற்றும் பயன்பாடுகளை மாற்றக்கூடிய AS/400 அமைப்புக்கான 64-பிட் புதுப்பிப்பை IBM வெளியிடுகிறது.

1996: நிண்டெண்டோ நிண்டெண்டோ 64 வீடியோ கேம் கன்சோலை அறிமுகப்படுத்தியது, இது மலிவான MIPS R4000 ஐ அடிப்படையாகக் கொண்டது. HP அதன் சொந்த PA-RISC PA-8000 கட்டமைப்பின் 64-பிட் 2.0 செயலாக்கத்தை வெளியிடுகிறது.

1997: 64-பிட் பவர்பிசி/பவர்பிசி ஏஎஸ் செயலிகளின் ஆர்எஸ்64 வரிசையை ஐபிஎம் அறிமுகப்படுத்தியது.

1998: சன் சோலாரிஸ் 7ஐ முழு 64-பிட் அல்ட்ராஸ்பார்க் ஆதரவுடன் வெளியிட்டது.

1999: இன்டெல் IA-64 கட்டமைப்பிற்கான அறிவுறுத்தல் தொகுப்பை வெளியிட்டது. IA-32 க்கான 64-பிட் நீட்டிப்புகளின் தொகுப்பை AMD பகிரங்கமாக அறிவிக்கிறது, இது x86-64 என்று அழைக்கப்பட்டது (பின்னர் AMD64 என மறுபெயரிடப்பட்டது).

2000: IBM அதன் முதல் 64-பிட் ESA/390-இணக்கமான மெயின்பிரேம், zSeries z900 மற்றும் புதிய z/OS இயங்குதளத்தை வெளியிட்டது.

2001: இன்டெல் இறுதியாக 64-பிட் செயலிகளை அறிமுகப்படுத்தியது, இப்போது இட்டானியம் என்று அழைக்கப்படுகிறது, இது உயர்நிலை சேவையகங்களை இலக்காகக் கொண்டது. IA-64 ஐ சந்தைக்குக் கொண்டு வருவதில் ஏற்பட்ட பல தாமதங்கள் காரணமாக இந்த திட்டம் எதிர்பார்ப்புகளை விட குறைந்துவிட்டது. NetBSD இன்டெல் இட்டானியம் செயலி வெளியானவுடன் இயங்கும் முதல் இயங்குதளமாகும். கூடுதலாக, மைக்ரோசாப்ட் இட்டானியம் குடும்பத்தின் IA-64 கட்டமைப்பிற்காக Windows XP 64-Bit பதிப்பையும் வெளியிடுகிறது, இருப்பினும் WoW64 லேயரைப் பயன்படுத்தி 32-பிட் பயன்பாடுகளை இயக்கும் திறனை இது தக்க வைத்துக் கொண்டுள்ளது.

2003: AMD ஆனது AMD64 கட்டமைப்பின் அடிப்படையில் ஆப்டெரான் மற்றும் அத்லான் 64 செயலி வரிகளை அறிமுகப்படுத்தியது, இது x86 கட்டமைப்பின் அடிப்படையில் உருவாக்கப்பட்ட முதல் 64-பிட் செயலி கட்டமைப்பாகும். ஆப்பிள் ஐபிஎம்மின் 64-பிட் "ஜி5" பவர்பிசி 970 செயலியைப் பயன்படுத்தத் தொடங்குகிறது. இன்டெல் அதன் இட்டானியம் குடும்ப செயலி சில்லுகள் மட்டுமே 64-பிட் செயலிகளாக இருக்கும் என்று கூறுகிறது.

2004: ஏஎம்டியின் வணிக வெற்றிக்கு பதிலளிக்கும் விதமாக, ஏஎம்டி64 நீட்டிப்புகளின் குளோனை உருவாக்கி வருவதாக இன்டெல் ஒப்புக்கொண்டது, அதற்கு அவர்கள் ஐஏ-32இ என்று பெயரிட்டனர் (பின்னர் ஈஎம்64டி என மறுபெயரிடப்பட்டது, பின்னர் மீண்டும் இன்டெல் 64) இன்டெல் நிறுவனமும் தயாரிக்கிறது புதுப்பிக்கப்பட்ட பதிப்புகள்புதிய கட்டளைகளுக்கான ஆதரவுடன் Xeon மற்றும் Pentium 4 செயலிகளின் குடும்பங்கள்.

2004: VIA டெக்னாலஜிஸ் அதன் 64-பிட் ஐசாயா செயலியை அறிமுகப்படுத்தியது.

2005: ஜனவரி 31, சன் AMD64/Intel 64 செயலிகளுக்கான ஆதரவுடன் சோலாரிஸ் 10ஐ வெளியிட்டது.ஏப்ரல் 30, மைக்ரோசாப்ட் AMD64/Intel 64 செயலிகளுக்கான Windows XP Professional x64 பதிப்பை வெளியிட்டது.

2006: சோனி, ஐபிஎம் மற்றும் தோஷிபா ஆகியவை பிளேஸ்டேஷன் 3, சர்வர்கள், பணிநிலையங்கள் மற்றும் பிற சாதனங்களுக்கான 64-பிட் செல் செயலியை வெளியிடத் தொடங்கின. மைக்ரோசாப்ட் 32-பிட் இணக்கத்தன்மையை ஆதரிக்கும் AMD64/Intel 64 செயலிகளுக்கான 64-பிட் பதிப்புடன் விண்டோஸ் விஸ்டாவை வெளியிடுகிறது. அனைத்து விண்டோஸ் பயன்பாடுகளும் கூறுகளும் 64-பிட் ஆகும், ஆனால் பலவற்றில் 32-பிட் பதிப்புகள் பொருந்தக்கூடிய நோக்கங்களுக்காக செருகுநிரல்களாக சேர்க்கப்பட்டுள்ளன.

2009: விண்டோஸ் விஸ்டாவைப் போலவே, மைக்ரோசாப்டின் விண்டோஸ் 7 ஆனது AMD64/Intel 64 செயலிகளுக்கான முழு 64-பிட் பதிப்பை உள்ளடக்கியது, மேலும் பெரும்பாலான புதிய கணினிகள் 64-பிட் பதிப்பில் இயல்பாகவே நிறுவப்பட்டுள்ளன. நிறுவனத்தின் இயக்க முறைமை வெளியிடப்பட்டது ஆப்பிள் மேக் OS X 10.6, "Snow Leopard" 64-பிட் கர்னலைக் கொண்டுள்ளது மற்றும் AMD64 / Intel 64 செயலிகளுக்காக வடிவமைக்கப்பட்டுள்ளது, இருப்பினும், இந்த அமைப்பு ஆப்பிள் கணினிகளின் சில சமீபத்திய மாடல்களில் மட்டுமே இயல்பாக நிறுவப்பட்டுள்ளது. Mac OS X 10.6 உடன் அனுப்பப்பட்ட பெரும்பாலான பயன்பாடுகள் இப்போது 64-பிட் ஆகும்.

ஜான் மாஷாவின் "தி லாங் ரோடு டு 64 பிட்ஸ்" என்ற கட்டுரையிலும், விக்கிபீடியா "64-பிட்" என்சைக்ளோபீடியா கட்டுரையிலும் 64-பிட் அமைப்புகளின் வளர்ச்சியின் வரலாற்றைப் பற்றி மேலும் அறியலாம்.

பயன்பாட்டு நிரலாக்கம் மற்றும் 64-பிட் அமைப்புகள்

2010 இல் எழுதும் நேரத்தில், மிகவும் நன்கு அறியப்பட்ட மற்றும் பரவலாக இரண்டு 64-பிட் நுண்செயலி கட்டமைப்புகள் உள்ளன: IA64 மற்றும் Intel 64.
  1. IA-64 64-பிட் நுண்செயலி கட்டமைப்பு இன்டெல் மற்றும் ஹெவ்லெட் பேக்கார்ட் இணைந்து உருவாக்கியது. இட்டானியம் மற்றும் இட்டானியம் 2 நுண்செயலிகளில் செயல்படுத்தப்பட்டது. IA-64 கட்டிடக்கலை பற்றிய விரிவான அறிமுகத்திற்கு, பின்வரும் விக்கிபீடியா கட்டுரைகளைப் பார்க்கவும்: "IA-64", "Itanium", "Itanium 2". இட்டானியம் கட்டிடக்கலை ஆதரிக்கப்படுகிறது ஒரு பெரிய எண்ணிக்கைசர்வர் உற்பத்தியாளர்கள்: புல், புஜித்சூ, புஜித்சூ சீமென்ஸ் கம்ப்யூட்டர்ஸ், ஹிட்டாச்சி, ஹெச்பி, என்இசி, எஸ்ஜிஐ மற்றும் யூனிசிஸ். இந்த உற்பத்தியாளர்கள் இன்டெல் மற்றும் பல மென்பொருள் உருவாக்குநர்களுடன் இணைந்து இட்டானியம் சொல்யூஷன்ஸ் கூட்டணியை உருவாக்கினர், இது கட்டிடக்கலையை மேம்படுத்துதல் மற்றும் மென்பொருள் போர்டிங்கின் வேகத்தை துரிதப்படுத்தும் நோக்கத்துடன்.
  2. இன்டெல் 64(AMD64 / x86-64 / x64 / EM64T) - இந்த கட்டமைப்பானது x86 கட்டமைப்பின் முழு பின்தங்கிய இணக்கத்தன்மையுடன் நீட்டிக்கப்பட்டுள்ளது. இந்த கட்டிடக்கலையின் பெயருக்கு பல விருப்பங்கள் உள்ளன, இது குழப்பத்திற்கு வழிவகுக்கிறது, இருப்பினும், இந்த பெயர்கள் அனைத்தும் ஒரே பொருளைக் குறிக்கின்றன: x86-64, AA-64, Hammer Architecture, AMD64, Yamhill Technology, EM64T, IA-32e , இன்டெல் 64, x64. விக்கிபீடியா கட்டுரையில் பல பெயர்கள் எப்படி வந்தன என்பதைப் பற்றி மேலும் அறியலாம்: "X86-64". இன்டெல் 64 கட்டமைப்பைக் கொண்ட செயலிகள் பெர்சனல் கம்ப்யூட்டர்களில் பரவலாகப் பயன்படுத்தப்படுகின்றன. மற்றும் பெரும்பாலும் உங்கள் கணினியில் இந்த கட்டமைப்பு கொண்ட செயலி பொருத்தப்பட்டிருக்கும்.
IA-64 மற்றும் Intel 64 (AMD64) ஆகியவை ஒன்றுக்கொன்று பொருந்தாத முற்றிலும் வேறுபட்ட நுண்செயலி கட்டமைப்புகள் என்பதைப் புரிந்துகொள்வது அவசியம். மேலும் கட்டுரையில் இன்டெல் 64 (x64 / AMD64) கட்டமைப்பை மட்டுமே கருத்தில் கொள்வோம், ஏனெனில் இது பயன்பாட்டு உருவாக்குநர்களிடையே மிகவும் பிரபலமானது. மென்பொருள்விண்டோஸ் இயக்க முறைமைக்கு. சுருக்கமாக, 64-பிட் விண்டோஸ் சிஸ்டத்தில் ஒரு புரோகிராமருக்கு கிடைக்கும் இன்டெல் 64 மென்பொருள் மாதிரி Win64 என்று அழைக்கப்படுகிறது.

இன்டெல் 64 (AMD64) கட்டமைப்பு

கேள்விக்குரிய Intel 64 கட்டமைப்பு என்பது பாரம்பரிய x86 தொழில்துறை கட்டமைப்பின் எளிமையான ஆனால் சக்திவாய்ந்த பின்னோக்கி-இணக்கமான நீட்டிப்பாகும். இது 64-பிட் முகவரி இடத்தை சேர்க்கிறது மற்றும் மீண்டும் தொகுக்கப்பட்ட 64-பிட் நிரல்களுக்கு அதிக செயல்திறனை ஆதரிக்க பதிவு வளங்களை விரிவுபடுத்துகிறது. கட்டிடக்கலை மரபு 16-பிட் மற்றும் 32-பிட் பயன்பாட்டுக் குறியீடு மற்றும் இயக்க முறைமைகளை மாற்றியமைத்தல் அல்லது மறுதொகுப்பு இல்லாமல் ஆதரிக்கிறது.

இன்டெல் 64 இன் ஒரு தனித்துவமான அம்சம் பதினாறு 64-பிட் பொது நோக்கப் பதிவேடுகளுக்கான ஆதரவாகும் (x86-32 எட்டு 32-பிட் பதிவுகளைக் கொண்டிருந்தது). 64-பிட் எண்கணிதம் மற்றும் தருக்க செயல்பாடுகள்முழு எண்களுக்கு மேல். 64-பிட் மெய்நிகர் முகவரிகள் ஆதரிக்கப்படுகின்றன. கட்டளைகளுக்கான புதிய பதிவேடுகளை நிவர்த்தி செய்ய, "பதிவு நீட்டிப்பு முன்னொட்டுகள்" அறிமுகப்படுத்தப்பட்டன, இதற்காக குறியீடு வரம்பு 40h-4Fh தேர்ந்தெடுக்கப்பட்டது, INC கட்டளைகளுக்குப் பயன்படுத்தப்பட்டது.<регистр>மற்றும் டிஇசி<регистр>32- மற்றும் 16-பிட் முறைகளில். 64-பிட் பயன்முறையில் உள்ள INC மற்றும் DEC வழிமுறைகள் மிகவும் பொதுவான, இரண்டு-பைட் வடிவத்தில் குறியாக்கம் செய்யப்பட வேண்டும்.

பதிவுகள்:

16 64-பிட் பொது நோக்க முழு எண் பதிவேடுகள் (RAX, RBX, RCX, RDX, RBP, RSI, RDI, RSP, R8 - R15),
8 80-பிட் மிதக்கும் புள்ளி பதிவேடுகள் (ST0 - ST7),
8 64-பிட் மல்டிமீடியா நீட்டிப்புப் பதிவேடுகள் (MM0 - MM7, ST0 - ST7 பதிவேடுகளுடன் இடத்தைப் பகிரவும்),
16 128-பிட் SSE பதிவுகள் (XMM0 - XMM15),
64-பிட் RIP சுட்டிக்காட்டி மற்றும் 64-பிட் RFLAGS கொடி பதிவு.

64-பிட் கட்டமைப்பின் தேவை பெரிய முகவரி இடம் தேவைப்படும் பயன்பாடுகளால் தீர்மானிக்கப்படுகிறது. முதலாவதாக, இவை உயர் செயல்திறன் சேவையகங்கள், தரவுத்தள மேலாண்மை அமைப்புகள், CAD மற்றும், நிச்சயமாக, விளையாட்டுகள். இத்தகைய பயன்பாடுகள் 64-பிட் முகவரி இடம் மற்றும் அதிக எண்ணிக்கையிலான பதிவுகள் ஆகியவற்றிலிருந்து குறிப்பிடத்தக்க வகையில் பயனடையும். மரபு x86 கட்டமைப்பில் கிடைக்கும் சிறிய எண்ணிக்கையிலான பதிவேடுகள் கணினி பணிகளில் செயல்திறனைக் கட்டுப்படுத்துகிறது. பதிவுகளின் எண்ணிக்கை பல பயன்பாடுகளுக்கு போதுமான செயல்திறனை வழங்குகிறது.

x86-64 கட்டிடக்கலையின் முக்கிய நன்மைகளை வலியுறுத்துவோம்:

  • 64-பிட் முகவரி இடம்;
  • பதிவுகளின் நீட்டிக்கப்பட்ட தொகுப்பு;
  • டெவலப்பர்களுக்கு நன்கு தெரிந்த கட்டளைகளின் தொகுப்பு;
  • 64-பிட் இயக்க முறைமையில் பழைய 32-பிட் பயன்பாடுகளை இயக்கும் திறன்;
  • 32-பிட் இயக்க முறைமைகளைப் பயன்படுத்தும் திறன்.

64-பிட் இயக்க முறைமைகள்

ஏறக்குறைய அனைத்து நவீன இயக்க முறைமைகளும் இப்போது இன்டெல் 64 கட்டமைப்பிற்கான பதிப்புகளைக் கொண்டுள்ளன, எடுத்துக்காட்டாக, மைக்ரோசாப்ட் விண்டோஸ் எக்ஸ்பி x64 ஐ வழங்குகிறது. முக்கிய டெவலப்பர்கள் UNIX அமைப்புகள்அவை லினக்ஸ் டெபியன் 3.5 x86-64 போன்ற 64-பிட் பதிப்புகளையும் வழங்குகின்றன. இருப்பினும், அத்தகைய அமைப்பின் அனைத்து குறியீடுகளும் முற்றிலும் 64-பிட் என்று இது அர்த்தப்படுத்துவதில்லை. இன்டெல் 64 32-பிட் பயன்பாடுகளுடன் பின்தங்கிய இணக்கத்தன்மையை வழங்குவதால், சில OS குறியீடு மற்றும் பல பயன்பாடுகள் 32-பிட்டாகவே இருக்கும். உதாரணமாக, 64-பிட் விண்டோஸ் பதிப்புஒரு சிறப்பு WoW64 பயன்முறையைப் பயன்படுத்துகிறது (Windows-on-Windows 64), இது 32-பிட் பயன்பாடுகளிலிருந்து அழைப்புகளை 64-பிட் இயக்க முறைமையின் ஆதாரங்களுக்கு மொழிபெயர்க்கிறது.

WoW64

Windows-on-Windows 64-bit (WoW64) என்பது Windows இயங்குதளத்தின் துணை அமைப்பாகும், இது Windows இன் அனைத்து 64-பிட் பதிப்புகளிலும் 32-பிட் பயன்பாடுகளை இயக்க அனுமதிக்கிறது.

WoW64 துணை அமைப்பு பின்வரும் நிரல்களை ஆதரிக்காது:

  • 16-பிட் இயக்க முறைமைகளுக்காக தொகுக்கப்பட்ட நிரல்கள்;
  • 32-பிட் இயக்க முறைமைகளுக்காக தொகுக்கப்பட்ட கர்னல்-முறை நிரல்கள்.
செயலி கட்டமைப்பைப் பொறுத்து WoW64 வேறுபாடுகள் உள்ளன. எடுத்துக்காட்டாக, இன்டெல் இட்டானியம் 2 செயலிக்காக உருவாக்கப்பட்ட விண்டோஸின் 64-பிட் பதிப்பு x86 வழிமுறைகளைப் பின்பற்ற WoW64 ஐப் பயன்படுத்துகிறது. 32-பிட் நிரல்களை இயக்கும் போது 64-பிட் பயன்முறையில் இருந்து பொருந்தக்கூடிய பயன்முறைக்கு மாறுவதால், இன்டெல் 64 கட்டமைப்பிற்கான WoW64 உடன் ஒப்பிடும்போது இந்த எமுலேஷன் மிகவும் வளம்-தீவிரமானது.

இன்டெல் 64 கட்டமைப்பில் (AMD64/x64) WoW64 க்கு அறிவுறுத்தல் எமுலேஷன் தேவையில்லை. இங்கே, WoW64 துணை அமைப்பு 32-பிட் செயலி மற்றும் 64-பிட் விண்டோஸ் API க்கு இடையே உள்ள கூடுதல் அடுக்கு காரணமாக 32-பிட் சூழலை மட்டுமே பின்பற்றுகிறது. சில இடங்களில் இந்த அடுக்கு மெல்லியதாக உள்ளது, மற்றவற்றில் அவ்வளவு இல்லை. க்கு இரண்டாம் நிலை திட்டம்அத்தகைய அடுக்கு இருப்பதால் உற்பத்தி இழப்பு சுமார் 2% ஆக இருக்கும். சில நிரல்களுக்கு இந்த மதிப்பு அதிகமாக இருக்கலாம். இரண்டு சதவீதம் அதிகம் இல்லை, ஆனால் 32 பிட் பயன்பாடுகள் 64 பிட் விண்டோஸ் இயக்க முறைமையின் கீழ் 32 பிட் சூழலை விட சற்று மெதுவாக இயங்குகின்றன என்பதை நினைவில் கொள்ளவும்.

64-பிட் குறியீட்டைத் தொகுப்பது WoW64 இன் தேவையை நீக்குவது மட்டுமல்லாமல், கூடுதல் செயல்திறன் ஊக்கத்தையும் வழங்குகிறது. நுண்செயலியில் உள்ள கட்டடக்கலை மாற்றங்கள், பொது நோக்கத்திற்கான பதிவேடுகளின் எண்ணிக்கையில் அதிகரிப்பு போன்றவை இதற்குக் காரணம். ஒரு சராசரி நிரலுக்கு, ஒரு எளிய மறுதொகுப்பிலிருந்து 5-15% செயல்திறன் ஆதாயத்தை நீங்கள் எதிர்பார்க்கலாம்.

WoW64 லேயர் இருப்பதால், 32-பிட் நிரல்கள் 32-பிட் சூழலைக் காட்டிலும் 64-பிட் சூழலில் குறைந்த திறனுடன் இயங்குகின்றன. இருப்பினும், எளிய 32-பிட் பயன்பாடுகள் அவற்றை 64-பிட் சூழலில் இயக்குவதன் மூலம் பயனடையலாம். /LARGEADDRESSAWARE:YES விசையுடன் தொகுக்கப்பட்ட ஒரு நிரல் 32-பிட் விண்டோஸ் இயக்க முறைமை /3gb விசையுடன் தொடங்கப்பட்டால் 3 ஜிகாபைட் நினைவகத்தை ஒதுக்க முடியும் என்பதை நீங்கள் அறிந்திருக்கலாம். எனவே, 64-பிட் கணினியில் தொடங்கப்பட்ட அதே 32-பிட் நிரல், கிட்டத்தட்ட 4 ஜிபி நினைவகத்தை ஒதுக்க முடியும் (நடைமுறையில், சுமார் 3.5 ஜிபி).

WoW64 துணை அமைப்பு 32-பிட் நிரல்களை 64-பிட் நிரல்களில் இருந்து கோப்பு மற்றும் பதிவேட்டில் அணுகலைத் திருப்பிவிடுவதன் மூலம் தனிமைப்படுத்துகிறது. இது 32-பிட் நிரல்களைத் தற்செயலாக 64-பிட் பயன்பாடுகளிலிருந்து தரவை அணுகுவதைத் தடுக்கிறது. எடுத்துக்காட்டாக, %systemroot%\System32 கோப்பகத்திலிருந்து DLL கோப்பை இயக்கும் 32-பிட் பயன்பாடு தற்செயலாக 32-பிட் நிரலுடன் பொருந்தாத 64-பிட் DLL கோப்பை அணுகலாம். இதைத் தவிர்க்க, WoW64 துணை அமைப்பு %systemroot%\System32 கோப்புறையிலிருந்து அணுகலை %systemroot%\SysWOW64 கோப்புறைக்கு திருப்பிவிடும். 32-பிட் அப்ளிகேஷன்களுடன் வேலை செய்ய பிரத்யேகமாக உருவாக்கப்பட்ட டிஎல்எல் கோப்பு தேவைப்படுவதால், பொருந்தக்கூடிய பிழைகளைத் தடுக்க இந்தத் திசைதிருப்பல் உதவுகிறது.

திசைதிருப்பல் வழிமுறைகள் பற்றிய கூடுதல் விவரங்கள் கோப்பு முறைமற்றும் பதிவேட்டை MSDN பிரிவில் "32-பிட் பயன்பாடுகளை இயக்குகிறது" இல் காணலாம்.

Win64 மென்பொருள் மாதிரி

Win32 இல் உள்ளதைப் போலவே, Win64 இல் பக்க அளவு 4Kb ஆகும். முகவரி இடத்தின் முதல் 64Kb ஒருபோதும் வரைபடமாக்கப்படவில்லை, அதாவது மிகச் சிறிய செல்லுபடியாகும் முகவரி 0x10000 ஆகும். Win32 போலல்லாமல், கணினி DLLகள் 4GBக்கு மேல் ஏற்றப்படுகின்றன.

இன்டெல் 64 க்கான கம்பைலர்களின் தனித்தன்மை என்னவென்றால், அவை ஸ்டேக்கைப் பயன்படுத்துவதற்குப் பதிலாக, செயல்பாடுகளுக்கு அளவுருக்களை அனுப்ப பதிவேடுகளை மிகவும் திறமையாகப் பயன்படுத்தலாம். இது Win64 கட்டிடக்கலையை உருவாக்குபவர்களுக்கு அழைப்பு மாநாடு போன்றவற்றிலிருந்து விடுபட அனுமதித்தது. Win32 இல் நீங்கள் வெவ்வேறு மரபுகளைப் பயன்படுத்தலாம்: __stdcall, __cdecl, __fastcall மற்றும் பல. Win64 இல் ஒரே ஒரு அழைப்பு மாநாடு உள்ளது. பதிவேட்டில் முழு எண் வகையின் நான்கு வாதங்கள் எவ்வாறு அனுப்பப்படுகின்றன என்பதற்கான உதாரணத்தைப் பார்ப்போம்:

  • RCX: முதல் வாதம்
  • RDX: இரண்டாவது வாதம்
  • R8: மூன்றாவது வாதம்
  • R9: நான்காவது வாதம்
முதல் நான்கு முழு எண்களுக்குப் பிறகு வாதங்கள் அடுக்கில் அனுப்பப்படுகின்றன. மிதவை வாதங்களுக்கு, XMM0-XMM3 பதிவேடுகள் பயன்படுத்தப்படுகின்றன, அத்துடன் ஸ்டாக்.

கன்வென்ஷன்களை அழைப்பதில் உள்ள வித்தியாசம் என்னவென்றால், நீங்கள் ஒரே நிரலில் 64-பிட் மற்றும் 32-பிட் குறியீட்டைப் பயன்படுத்த முடியாது. வேறு வார்த்தைகளில் கூறுவதானால், ஒரு பயன்பாடு 64-பிட் பயன்முறையில் தொகுக்கப்பட்டால், பயன்படுத்தப்படும் அனைத்து டிஎல்எல்களும் 64-பிட்டாக இருக்க வேண்டும்.

பதிவேடுகள் மூலம் அளவுருக்களை அனுப்புவது 64-பிட் நிரல்களை 32-பிட் நிரல்களை விட அதிக செயல்திறன் கொண்ட புதுமைகளில் ஒன்றாகும். 64-பிட் தரவு வகைகளைப் பயன்படுத்துவதன் மூலம் கூடுதல் செயல்திறன் நன்மைகளைப் பெறலாம்.

முகவரி இடம்

64-பிட் செயலி கோட்பாட்டளவில் 16 எக்சாபைட் நினைவகத்தை (2^64) கையாள முடியும் என்றாலும், Win64 தற்போது 16 டெராபைட்களை (2^44) ஆதரிக்கிறது. இதற்கு பல காரணங்கள் உள்ளன. தற்போதைய செயலிகள் 1 டெராபைட் (2^40) இயற்பியல் நினைவகத்தை மட்டுமே அணுக முடியும். கட்டிடக்கலை (ஆனால் வன்பொருள் அல்ல) இந்த இடத்தை 4 பெட்டாபைட்டுகளுக்கு (2^52) விரிவாக்க முடியும். இருப்பினும், இந்த விஷயத்தில், நினைவகத்தை வரைபடமாக்கும் பக்க அட்டவணைகளுக்கு அதிக அளவு நினைவகம் தேவைப்படுகிறது.

இந்த வரம்புகளுக்கு மேலதிகமாக, 64-பிட் விண்டோஸ் இயக்க முறைமையின் குறிப்பிட்ட பதிப்பில் கிடைக்கும் நினைவகத்தின் அளவும் மைக்ரோசாப்டின் வணிகக் கருத்தில் சார்ந்துள்ளது. விண்டோஸின் 64-பிட் பதிப்புகளின் வெவ்வேறு பதிப்புகளால் ஆதரிக்கப்படும் நினைவகத்தின் அளவு பற்றிய தகவல் கீழே உள்ளது:

விண்டோஸ் எக்ஸ்பி புரொபஷனல் - 128 ஜிபைட்;
விண்டோஸ் சர்வர் 2003, தரநிலை - 32 ஜிபைட்;
விண்டோஸ் சர்வர் 2003, எண்டர்பிரைஸ் - 1 டிபைட்;
விண்டோஸ் சர்வர் 2003, டேட்டாசென்டர் - 1 டிபைட்;
விண்டோஸ் சர்வர் 2008, டேட்டாசென்டர் - 2 டிபைட்;
விண்டோஸ் சர்வர் 2008, எண்டர்பிரைஸ் - 2 டிபைட்;
விண்டோஸ் சர்வர் 2008, தரநிலை - 32 ஜிபைட்;
விண்டோஸ் சர்வர் 2008, வெப் சர்வர் - 32 ஜிபைட்;
விஸ்டா ஹோம் பேசிக் - 8 ஜிபைட்;
விஸ்டா ஹோம் பிரீமியம் - 16 ஜிபைட்;
விஸ்டா பிசினஸ் - 128 ஜிபைட்;
விஸ்டா எண்டர்பிரைஸ் - 128 ஜிபைட்;
விஸ்டா அல்டிமேட் - 128 ஜிபைட்;
விண்டோஸ் 7 ஹோம் பேசிக் - 8 ஜிபைட்;
விண்டோஸ் 7 ஹோம் பிரீமியம் - 16 ஜிபைட்;
விண்டோஸ் 7 புரொபஷனல் - 192 ஜிபைட்;
விண்டோஸ் 7 எண்டர்பிரைஸ் - 192 ஜிபைட்;
விண்டோஸ் 7 அல்டிமேட் - 192 ஜிபைட்;

64-பிட் பயன்பாடுகளின் வளர்ச்சி

64-பிட் அப்ளிகேஷன்களை உருவாக்குவதற்கான சிக்கல்கள் பாடத்திட்டத்தில் முழுமையாக உள்ளடக்கப்பட்டுள்ளன "

1985 இல் நிறுவனம் இன்டெல் 32-பிட் நுண்செயலியை வெளியிட்டது, இது குடும்பத்தின் நிறுவனர் ஆனது IA-32. இந்தக் குடும்பத்தின் வளர்ச்சி பல நிலைகளைக் கடந்துள்ளது, அவற்றில் பின்வருவனவற்றை முன்னிலைப்படுத்தலாம்: எம்பி சிப்பில் (நுண்செயலி) நேரடியாக மிதக்கும் புள்ளி எண் செயலாக்க அலகு செயல்படுத்துதல் I486), அறிமுகம் எம்எம்எக்ஸ்- கொள்கையின் அடிப்படையில் ஒரு நிலையான புள்ளியுடன் தரவு செயலாக்க தொழில்நுட்பங்கள் SIMD - ஒற்றை அறிவுறுத்தல் பல தரவு(ஒரு அறிவுறுத்தல் ஸ்ட்ரீம் - பல தரவு ஸ்ட்ரீம்கள்) ஒரு நுண்செயலியில் பென்டியம் எம்எம்எக்ஸ்மற்றும் மிதக்கும் புள்ளி எண்களில் இந்த தொழில்நுட்பத்தின் வளர்ச்சி ( SSE - ஸ்ட்ரீமிங் SIMD நீட்டிப்பு), இது முதல் முறையாக எம்.பி.யில் தோன்றியது பெண்டியம் III. இருப்பினும், இந்த கட்டிடக்கலையின் முக்கிய அம்சங்கள் இன்றுவரை மாறாமல் உள்ளன.

32-பிட் நுண்செயலியின் கட்டமைப்பு, 16-பிட் நுண்செயலியின் கட்டமைப்பிலிருந்து கணிசமாக வேறுபட்டது. இந்த வேறுபாடுகளில் சில முற்றிலும் அளவு சார்ந்தவை, மற்றவை அடிப்படை.

முக்கிய வெளிப்புற வேறுபாடு தரவு பஸ் மற்றும் முகவரி பஸ் அகலத்தை 32 பிட்களாக அதிகரிப்பதாகும். இதையொட்டி, நுண்செயலியின் உள் உறுப்புகளின் பிட் ஆழம் மற்றும் சில செயல்முறைகளைச் செய்வதற்கான பொறிமுறையில் ஏற்படும் மாற்றங்களுடன் தொடர்புடையது, எடுத்துக்காட்டாக, இயற்பியல் முகவரி உருவாக்கம்.

நிலையான-புள்ளி எண் செயலாக்க அலகு பதிவேடுகள் 32-பிட் ஆனது. அவை ஒவ்வொன்றையும் ஒரு இரட்டை வார்த்தையாக (32 பிட்கள்) அணுகலாம். இந்த பதிவேடுகளின் கீழ் 16 பிட்களை 16-பிட் நுண்செயலியில் உள்ள அதே வழியில் அணுகலாம்.

பிரிவு பதிவேடுகளின் தொகுதியில் அளவு மற்றும் தரமான மாற்றங்கள் இரண்டும் நிகழ்ந்தன. உண்மையான பயன்முறையில் பயன்படுத்தப்படும் CS, DS, SS மற்றும் ES ஆகிய நான்கு பதிவேடுகளில் மேலும் இரண்டு சேர்க்கப்பட்டுள்ளன: FS மற்றும் GS. இந்தத் தொகுதியின் பதிவேடுகளின் அகலம் ஒரே மாதிரியாக இருந்தாலும் (ஒவ்வொரு 16 பிட்களும்), அவை ரேமின் இயற்பியல் முகவரியை உருவாக்குவதில் வித்தியாசமாகப் பயன்படுத்தப்படுகின்றன. நுண்செயலி பாதுகாக்கப்பட்ட பயன்முறையில் செயல்படும் போது, ​​அவை தொடர்புடைய கணினி அட்டவணையில் ஒரு பிரிவு விளக்கத்தை (விளக்கத்தை) தேடும், மேலும் பிரிவின் அடிப்படை முகவரி மற்றும் பண்புக்கூறுகள் விளக்கத்தில் சேமிக்கப்படும். இந்த வழக்கில், முகவரி உருவாக்கம் நினைவக மேலாளரின் பிரிவு அலகு மூலம் செய்யப்படுகிறது.

பிரிவுகளுக்கு கூடுதலாக, நினைவகம் பக்கங்களாக பிரிக்கப்பட்டால், இயற்பியல் முகவரிகளின் இறுதி கணக்கீடு பக்க கட்டுப்பாட்டு அலகு மூலம் செய்யப்படுகிறது.

I486 நுண்செயலியில் தொடங்கி, நுண்செயலி சிப்பில் ஒரு மிதக்கும்-புள்ளி அலகு உள்ளது, இது எட்டு 80-பிட் பதிவேடுகளை உள்ளடக்கியது.

நுண்செயலி சிப்பில் உள்ளக கேச் நினைவகமும் உள்ளது, இது சிறப்பாக ஒழுங்கமைக்கப்பட்ட அதிவேக இடையக நினைவகமாகும், இது அடிக்கடி பயன்படுத்தப்படும் தகவல்களை (கட்டளைகள் மற்றும் தரவு) சேமிக்க வடிவமைக்கப்பட்டுள்ளது. பல்வேறு நுண்செயலி மாடல்களில், கேச் நினைவகம் 8 KB முதல் 512 KB வரை இருக்கும்.

வன்பொருள் மட்டத்தில் உள்ள நுண்செயலி கணினியின் பல நிரல் இயக்க முறைமையை ஆதரிக்கிறது, அதாவது, ஒரே நேரத்தில் நினைவகத்தில் பல தயாராக-செயல்படுத்தக்கூடிய நிரல்களை வைத்திருக்கும் திறன், அதன் செயல்பாட்டின் வழிமுறைகளுக்கு ஏற்ப இயக்க முறைமையால் தொடங்கப்படுகிறது. அல்லது வெளிப்புற சாதனங்களின் செயல்பாட்டில் எழும் சிறப்பு சூழ்நிலைகளைப் பொறுத்து.

இந்த திறனுடன் பிரிக்கமுடியாத வகையில் இணைக்கப்பட்ட நினைவக பாதுகாப்பு கட்டுப்பாடுகள் தனிப்பட்ட நிரல்களுக்கிடையேயான அங்கீகரிக்கப்படாத தொடர்புகளின் மீதான கட்டுப்பாட்டை வழங்குகிறது. நினைவக மேலாண்மை பாதுகாப்பு மற்றும் சிறப்புரிமை பாதுகாப்பு ஆகியவை இதில் அடங்கும்.

நீட்டிக்கப்பட்ட அறிவுறுத்தல் வடிவமைப்பின் முக்கிய அம்சங்கள், எந்தவொரு முகவரி முறையிலும் பொதுவான நோக்கப் பதிவேடுகளைப் பயன்படுத்துவதற்கான திறன், அத்துடன் மற்றொரு முகவரி முறை - அளவிடுதலுடன் தொடர்புடைய அடிப்படைக் குறியீடு. இந்த வழக்கில், பயனுள்ள முகவரி பின்வருமாறு உருவாக்கப்படுகிறது:

EA = (அடிப்படை) + (குறியீட்டு) அளவு + disp,

எங்கே (அடிப்படை) என்பது அடிப்படை பதிவேட்டின் மதிப்பு; (குறியீடு) - குறியீட்டு பதிவு மதிப்பு; அளவு - அளவு காரணி மதிப்பு (அளவு = 1,2,3,4); disp என்பது கட்டளையிலேயே குறியிடப்பட்ட ஆஃப்செட் மதிப்பு.

32-பிட் கட்டமைப்பில், பயனுள்ள முகவரி பொதுவாக ஆஃப்செட் என்று அழைக்கப்படுகிறது, அதே நேரத்தில் அறிவுறுத்தலில் குறியிடப்பட்ட ஆஃப்செட்டிலிருந்து வேறுபடுத்துகிறது (இடப்பெயர்ச்சி).

பிசி பிட் கட்டமைப்பு.

Win64 குறியீடு 32-பிட் குறியீட்டின் முக்கிய அம்சங்களை ஒருங்கிணைக்கிறது, மேலும் பிட் ஆழத்தை அதிகரிப்பது தொடர்பான மாற்றங்களையும் உள்ளடக்கியது. புரோகிராமர் தனது வசம் உள்ளது:

· 64-பிட் சுட்டிகள்;

· 64-பிட் தரவு வகைகள்;

· 32-பிட் தரவு வகைகள்;

· Win64 API இடைமுகம்.

தளத்தின் பிட் ஆழம் அதிகரித்ததால் 32-பிட் தரவு வகைகள் மறைந்துவிடவில்லை என்பதை நினைவில் கொள்ளவும் (Win32 க்கு நகரும் போது 16-பிட் தரவு வகைகளைப் போல). ஏனென்றால், 64-பிட் பயன்பாடுகளில் கூட, பெரும்பாலான சந்தர்ப்பங்களில் மாறிகளுக்கு 8 பைட்டுகள் நினைவகம் தேவையில்லை, எனவே இதுபோன்ற சந்தர்ப்பங்களில் 64-பிட் வகைகளைப் பயன்படுத்துவது மிகவும் திறமையற்றதாக இருக்கும். இயக்க முறைமைதரவு அளவை 8 பைட்டுகளாக அதிகரிக்க, மிக முக்கியமான பிட்களில் பூஜ்ஜியங்களைச் சேர்ப்பது அவசியம் (அத்தகைய தரவு படிக்க மிகவும் சிரமமாக உள்ளது). இதனால் செயல்திறன் குறையும்.

32-பிட் சுட்டிகள் வேறுபட்ட விதியை சந்தித்தன: அவை முற்றிலும் மறைந்துவிட்டன. உண்மை என்னவென்றால், 32-பிட் சுட்டிகளின் பயன்பாடு முகவரியிடக்கூடிய நினைவகத்தின் அளவைக் கட்டுப்படுத்துகிறது. எடுத்துக்காட்டாக, 32-பிட் சுட்டிகளைப் பயன்படுத்தும் பிளாட் மெமரி மாடலின் முக்கிய நன்மைகளில் ஒன்று (என்டி இயங்குதளத்தில் 32-பிட் பயன்பாடுகளை நிரலாக்குவதற்கான முக்கிய மாதிரி), இது 4 ஜிபி வரையிலான பிரிவுகளை உருவாக்கும் திறன் ஆகும். புதிய 64-பிட் சுட்டிகள் 16 TB நினைவகம் (1 TB = 1012 MB) வரை உரையாற்றும் திறனை வழங்குகிறது. இந்த தொகுதி நவீன வணிக பயன்பாடுகளுக்கு மிகவும் தேவை உள்ளது.

Win64 API இல் உள்ள செயல்பாடுகள் சிறிய மாற்றங்களுக்கு உட்பட்டுள்ளன. அவர்களில் சிலரின் பெயர்கள் மட்டும் 64-பிட் இயங்குதளத்தைப் பிரதிபலிக்கும் வகையில் மாற்றப்பட்டுள்ளன. பெரும்பாலான சந்தர்ப்பங்களில், செயல்பாட்டு அழைப்புகளுக்கான வாதங்களாக இருந்த அளவுருக்களின் வகைகள் மட்டுமே மாற்றப்பட்டன. மற்ற அனைத்து நன்மைகளும் (ஸ்வாப் கோப்புகளின் பயன்பாட்டை நீக்கும் திறன் போன்றவை) முகவரியிடல் அளவு அதிகரிப்பு அல்லது புதிய தரவு வகைகளுடன் தொடர்புடையவை.

அமைப்பு பலகைகளின் வடிவமைப்பு. படிவ காரணி

கணினி மதர்போர்டு வடிவமைப்பு

மதர்போர்டு(ஆங்கில மதர்போர்டு, எம்பி, ஆங்கில மெயின்போர்டின் பெயரும் பயன்படுத்தப்படுகிறது - பிரதான பலகை; ஸ்லாங். தாய், தாய், மதர்போர்டு) என்பது ஒரு சிக்கலான பல அடுக்கு அச்சிடப்பட்ட சர்க்யூட் போர்டு ஆகும், அதில் முக்கிய கூறுகள் நிறுவப்பட்டுள்ளன. தனிப்பட்ட கணினி(மத்திய செயலி, ரேம் கட்டுப்படுத்தி மற்றும் ரேம், பூட் ரோம், அடிப்படை உள்ளீடு-வெளியீட்டு இடைமுகங்களின் கட்டுப்படுத்திகள்). ஒரு விதியாக, மதர்போர்டில் கூடுதல் கட்டுப்படுத்திகளை இணைப்பதற்கான இணைப்பிகள் (ஸ்லாட்டுகள்) உள்ளன, எந்த யூ.எஸ்.பி, பி.சி.ஐ மற்றும் பி.சி.ஐ-எக்ஸ்பிரஸ் பேருந்துகள் பொதுவாகப் பயன்படுத்தப்படுகின்றன.

CPU

சிஸ்டம் லாஜிக் செட் (ஆங்கில சிப்செட்) என்பது ரேம் மற்றும் பெரிஃபெரல் டிவைஸ் கன்ட்ரோலர்களுக்கு CPU இன் இணைப்பை வழங்கும் சில்லுகளின் தொகுப்பாகும். ஒரு விதியாக, நவீன கணினி லாஜிக் செட்கள் இரண்டு VLSI சில்லுகளின் அடிப்படையில் கட்டப்பட்டுள்ளன: "வடக்கு பாலம்" மற்றும் "தெற்கு பாலம்".

வடக்கு பாலம்(ஆங்கில நார்த்பிரிட்ஜ்), MCH (மெமரி கன்ட்ரோலர் ஹப்), சிஸ்டம் கன்ட்ரோலர் - உயர் செயல்திறன் பேருந்துகளைப் பயன்படுத்தி சிபியுவை கணுக்களுடன் இணைக்கிறது: ரேம், கிராபிக்ஸ் கன்ட்ரோலர்.

சிபியுவை சிஸ்டம் கன்ட்ரோலருடன் இணைக்க, ஹைப்பர் டிரான்ஸ்போர்ட் மற்றும் எஸ்சிஐ போன்ற FSB பேருந்துகளைப் பயன்படுத்தலாம்.

பொதுவாக, ரேம் கணினி கட்டுப்படுத்தியுடன் இணைக்கப்பட்டுள்ளது. இந்த வழக்கில், இது ஒரு நினைவக கட்டுப்படுத்தியைக் கொண்டுள்ளது. எனவே, பொதுவாக பயன்படுத்தப்படும் கணினி கட்டுப்படுத்தி வகை சார்ந்தது அதிகபட்ச அளவுரேம், அத்துடன் தனிப்பட்ட கணினியின் நினைவக பஸ்ஸின் அலைவரிசை. ஆனால் தற்போதைய போக்கு ரேம் கட்டுப்படுத்தியை நேரடியாக CPU இல் உட்பொதிப்பதாகும் (எடுத்துக்காட்டாக, நினைவகக் கட்டுப்படுத்தி AMD K8 மற்றும் Intel Core i7 இல் உள்ள செயலியில் கட்டமைக்கப்பட்டுள்ளது), இது கணினி கட்டுப்படுத்தியின் செயல்பாடுகளை எளிதாக்குகிறது மற்றும் வெப்பச் சிதறலைக் குறைக்கிறது.

நவீன மதர்போர்டுகளில் கிராபிக்ஸ் கன்ட்ரோலரை இணைக்கும் பஸ்ஸாக பிசிஐ எக்ஸ்பிரஸ் பயன்படுத்தப்படுகிறது. முன்பு, பொதுவான பேருந்துகள் (ISA, VLB, PCI) மற்றும் AGP பேருந்துகள் பயன்படுத்தப்பட்டன.

தெற்கு பாலம்(eng. சவுத்பிரிட்ஜ்), ICH (I/O கன்ட்ரோலர் ஹப்), பெரிஃபெரல் கன்ட்ரோலர் - பெரிஃபெரல் டிவைஸ் கன்ட்ரோலர்களைக் கொண்டுள்ளது ( வன், ஈத்தர்நெட், ஆடியோ), புற சாதனங்களை இணைப்பதற்கான பஸ் கன்ட்ரோலர்கள் (பிசிஐ, பிசிஐ-எக்ஸ்பிரஸ் மற்றும் யூஎஸ்பி பஸ்கள்), அத்துடன் அதிக அலைவரிசை தேவையில்லாத சாதனங்களுடன் இணைக்கப்பட்டுள்ள பஸ் கன்ட்ரோலர்கள் (எல்பிசி - பூட் ரோம் இணைக்கப் பயன்படுகிறது; மேலும் எல்பிசி மல்டிகண்ட்ரோலரை (ஆங்கில சூப்பர் I/O) இணைக்கப் பயன்படுத்தப்படும் பேருந்து - "காலாவதியான" குறைந்த செயல்திறன் தரவு பரிமாற்ற இடைமுகங்களுக்கான ஆதரவை வழங்கும் ஒரு சிப்: தொடர் மற்றும் இணையான இடைமுகங்கள், விசைப்பலகை மற்றும் மவுஸ் கட்டுப்படுத்தி).

ஒரு விதியாக, வடக்கு மற்றும் தெற்கு பாலங்கள் தனி VLSI சில்லுகளாக செயல்படுத்தப்படுகின்றன, ஆனால் ஒற்றை சிப் தீர்வுகளும் உள்ளன. இது எல்லாவற்றையும் தீர்மானிக்கும் கணினி தர்க்கத்தின் தொகுப்பு முக்கிய அம்சங்கள்மதர்போர்டு மற்றும் என்ன சாதனங்கள் அதனுடன் இணைக்க முடியும்.

ரேண்டம் அணுகல் நினைவகம் (மேலும் சீரற்ற அணுகல் நினைவகம், ரேம்) - கணினி அறிவியலில் - நினைவகம், கணினி நினைவக அமைப்பின் ஒரு பகுதி, செயலி ஒரு செயல்பாட்டிற்கு அணுகக்கூடியது (ஜம்ப், மூவ், முதலியன). செயலி செயல்பாடுகளைச் செய்வதற்குத் தேவையான தரவு மற்றும் கட்டளைகளைத் தற்காலிகமாகச் சேமிக்க வடிவமைக்கப்பட்டுள்ளது. ரேம் நேரடியாகவோ அல்லது கேச் மெமரி மூலமாகவோ செயலிக்கு தரவை அனுப்புகிறது. ஒவ்வொரு ரேம் கலமும் அதன் சொந்த முகவரியைக் கொண்டுள்ளது.

ரேம் ஒரு தனி அலகாக தயாரிக்கப்படலாம் அல்லது ஒற்றை சிப் கணினி அல்லது மைக்ரோகண்ட்ரோலரின் வடிவமைப்பில் சேர்க்கப்படலாம்.

பூட் ரோம் - பவரை ஆன் செய்த உடனேயே செயல்படுத்தப்படும் மென்பொருளை சேமிக்கிறது. பொதுவாக, பூட் ரோம் BIOS ஐக் கொண்டுள்ளது, ஆனால் EFI கட்டமைப்பிற்குள் இயங்கும் மென்பொருளையும் கொண்டிருக்கலாம்.

64-பிட் MP கட்டமைப்பின் அம்சங்கள்


5.1 இட்டானியம் 2 இன்டெல்

செயலி புதிதாக உருவாக்கப்பட்டது, மற்றும் ஒரே நேரத்தில் இரண்டு பதிப்புகளில் இணையாக: இன்டெல் மற்றும் ஹெவ்லெட்-பேக்கர்டின் பொறியாளர்களால். இருப்பினும், இரண்டு சில்லுகளும், இயற்கையாகவே, ஒரே யோசனைகளை அடிப்படையாகக் கொண்டவை, ஏனெனில் அவை கூட்டாக உருவாக்கப்பட்டன, மேலும் இருவரும் ஒரே குடும்பத்தின் நிறுவனர்களாக மாற வேண்டும். சிமென்டிங் கலவையானது, இயற்கையாகவே, CISC - EPIC (வெளிப்படையான இணையான அறிவுறுத்தல் கம்ப்யூட்டிங்) மற்றும் ஒரு புதிய கட்டிடக்கலை - IA-64 ஐ மாற்றியமைக்கும் ஒரு சித்தாந்தமாக இருந்தது, இதில் அறிவுறுத்தல்களின் தொகுப்பு, பதிவேடுகளின் விளக்கம் மற்றும் பிற ஒத்த விஷயங்கள் உள்ளன. இருப்பினும், கட்டிடக்கலை என்பது மாறக்கூடிய விஷயம்; 80x86 ஐ அடிப்படையாகக் கொண்ட 8086 மற்றும் i80486 போன்ற CISC செயலிகள் எவ்வாறு ஒருவருக்கொருவர் வேறுபடுகின்றன என்பதை நினைவில் கொள்ளுங்கள்.
மெர்சிட் மற்றும் மெக்கின்லி, இட்டானியம் மற்றும் இட்டானியம் 2 ஆகியவற்றிலும் இது ஒன்றுதான் - இரண்டும் ஒரே சித்தாந்தத்தில் கட்டமைக்கப்பட்டுள்ளன, ஆனால் வெவ்வேறு வகையான கட்டிடக்கலைகளில். ஒரு காலத்தில், அதே கதை, பொதுவாக, பென்டியம் மற்றும் பென்டியம் ப்ரோவுடன் நடந்தது. இருப்பினும், அவை இரண்டும் பொதுவான அம்சங்களைக் கொண்டிருந்தன, இவையும் கூட, EPIC இதற்கு "பொறுப்பு" ஆகும். முதலாவதாக, நாங்கள் முழு அளவிலான சூப்பர்ஸ்கேலாரிட்டியைப் பற்றி பேசுகிறோம், அதாவது ஒரே நேரத்தில் பல வழிமுறைகளை இயக்கும் திறன். ஏன், இயற்கையாகவே, செயலியில் எக்ஸிகியூட்டிவ் தொகுதிகள் உள்ளன - முழு எண்கள், மிதக்கும் புள்ளி எண்கள் போன்றவற்றுடன் செயல்பாடுகளுக்கு.
பென்டியம் மற்றும் அதன் வாரிசுகளைப் போலன்றி, தாங்களாகவே குறியீட்டைப் புரிந்துகொள்கிறார்கள், EPIC செயலிகள் கம்பைலரையே பெரிதும் நம்பியிருக்கின்றன, அதன் செயல்பாட்டிற்கு இணையாகச் செயல்படுவதற்கான உகந்த இடங்களைக் கண்டறிந்து, செயலிக்கு இந்தத் தகவலை வழங்க இதுவே குறியீட்டை பகுப்பாய்வு செய்ய வேண்டும். அதனால்தான் இது "வெளிப்படையாக" பயன்படுத்தப்படுகிறது - செயலி தன்னை இணையாக செயல்படுத்தக்கூடியது மற்றும் எதைச் செய்ய முடியாது என்பதைப் புரிந்து கொள்ள முயற்சிக்கக்கூடாது. - தொகுப்பாளர் இதையெல்லாம் அவருக்கு முன்கூட்டியே விளக்குவார். கூடுதலாக, மாற்றங்களை முன்னறிவிப்பதற்கான சக்திவாய்ந்த வழிமுறைகள், குறியீட்டின் முன்-செயல்படுத்துதல், தரவு முன் ஏற்றுதல் மற்றும் ஒத்த விஷயங்கள் - செயல்படுத்தும் அலகுகளின் சுமை முடிந்தவரை சமமாக விநியோகிக்கப்பட வேண்டும்.
பதிவேடுகளுடனான சிக்கல் தீவிரமாக தீர்க்கப்பட்டது, அவற்றின் எண்ணிக்கை பல மடங்கு அதிகரிக்கப்பட்டுள்ளது: இட்டானியத்தில், அவற்றின் எண்ணிக்கை பொது நோக்கத்திற்காக 128 ஆகும் (படம் 1), மிதக்கும் புள்ளி எண்களை சேமிப்பதற்கு 128, 8 மாற்றம் பதிவேடுகள் மற்றும் 64 பொறுப்பு ra -bot கணிப்பு வழிமுறைகள். இங்கே எல்லாம் தெளிவாக உள்ளது - இந்த எண்ணிக்கையிலான பதிவேடுகள் மற்றும் உண்மையில் 64-பிட்கள் கூட, எந்தவொரு நியாயமான நிர்வாக தொகுதிகளுக்கும் தேவையான எண்களை சேமிக்க போதுமானது. குடும்பத்தின் முதல் உறுப்பினரான இட்டானியம் அத்தகைய ஐந்து பதிவேடுகளை மட்டுமே கொண்டுள்ளது - இரண்டு முழு எண், நினைவக செயல்பாடுகளுக்கு இரண்டு மற்றும் மிதக்கும் புள்ளி செயல்பாடுகளுக்கு நான்கு. இயற்பியல் நினைவகம் 44-பிட் எண்களால் குறிப்பிடப்படுகிறது, இது உண்மையில் அதன் அளவை "மட்டும்" 17.6 டெராபைட்டுகளாக கட்டுப்படுத்துகிறது; மிதக்கும் புள்ளி அலகுகள் 82-பிட் பிரதிநிதித்துவத்தில் எண்களுடன் வேலை செய்கின்றன.

வன்பொருளில் 32-பிட் 80x86 மையத்தை செயல்படுத்தும் யோசனையை இன்டெல் கைவிட்டது, இது சிப் பகுதியை மிகவும் திறமையற்றதாகப் பயன்படுத்துவதாகக் கருதியது. எனவே, இட்டானியம் 80x86 குறியீட்டை இயக்க, 80x86 குறியீட்டை IA-64 ஆக மாற்றும் ஒரு மொழிபெயர்ப்பு அமைப்பு உருவாக்கப்பட்டது.
வெளிப்படையாக, மற்ற அனைத்தும் சமமாக இருப்பதால், அத்தகைய தீர்வின் செயல்திறன் அதே அதிர்வெண்ணில் செயல்படும் ஒரு தூய x86 ஐ விட குறைவாக இருக்கும். எவ்வாறாயினும், இட்டானியத்திலிருந்து x86 நிரல்களின் அதிவேக செயலாக்கத்தை யாரும் எதிர்பார்க்கவில்லை - இந்த கட்டிடக்கலைக்கான ஆதரவு மாற்றம் காலத்தின் செலவாக இருக்கும். இருப்பினும், உண்மை உள்ளது: இந்த குடும்பம் 32-பிட் சிக்கல்களைத் தீர்ப்பதற்கு ஏற்றது அல்ல. எவ்வாறாயினும், முழு அளவிலான 64-பிட் மென்பொருள் இருந்தால், யாரேனும் அத்தகைய நோக்கங்களுக்காக Itanium ஐப் பயன்படுத்த மாட்டார்கள்.
கூடுதலாக, இட்டானியம் பென்டியம் ப்ரோ போன்ற ஒரு பைலட் திட்டமாக இருந்தது, எனவே செயலி பொதுவாக கட்டிடக்கலையின் திறன்களை நிரூபிக்கும் வகையில் பார்க்கப்பட வேண்டும். இட்டானியத்திற்கான சிப்செட், 460GX, நினைவகமாக PC100 SDRAM ஐ மட்டுமே ஆதரிக்கிறது என்பது ஒரு சிறப்பியல்பு தொடுதலாகும், இது செயலி தரவைச் செயலாக்கும் திறன் கொண்ட வேகத்தைப் பற்றி கூறுகிறது. மறுபுறம், எனினும், இடைமுகம் ரேம்மிகப் பெரிய L3 கேச் - 2 அல்லது 4 எம்பி மூலம் ஈடுசெய்யப்படுகிறது, இது முழு செயலி அதிர்வெண்ணில் (733 அல்லது 800 மெகா ஹெர்ட்ஸ்) 12.8 ஜிபி/வி வரையிலான அலைவரிசையுடன் செயல்படுகிறது.
இட்டானியத்திற்கான மற்றொரு பணி, கம்பைலர்களுடனான சிக்கலைத் தீர்ப்பதாகும் - எல்லாவற்றிற்கும் மேலாக, EPIC செயலிகள், ஏற்கனவே குறிப்பிட்டுள்ளபடி, அவற்றை மிகவும் சார்ந்துள்ளது. 80x86 செயலிகளுக்கான கம்பைலர்களைப் போலல்லாமல், அவற்றின் செயல்திறனில் எந்த விளைவையும் ஏற்படுத்தவில்லை, இங்கே கம்பைலர்கள் செயலியின் முழு பங்காளிகள் - எல்லாவற்றிற்கும் மேலாக, அவை வேலைக்கு மிகவும் அவசியமான தகவல்களை வழங்குகின்றன, மேலும் அதன் தரத்தைப் பொறுத்தது - தீர்மானிக்கவும் செயலி மூலம் இந்த திட்டத்தை செயல்படுத்தும் வேகம்.
இட்டானியம் 2 ஏற்கனவே வணிக ரீதியாக மிகவும் சுவாரஸ்யமான தயாரிப்பு ஆகும். 64-பிட் PA-RISC தொடர் செயலிகளை உருவாக்குவதில் பற்களை வெட்டிய Hewlett-Packard ஆல் உருவாக்கப்பட்டது, சிப் மிகவும் மேம்பட்டதாக மாறியது. சற்று சிறிய அளவு L3 (1.5 அல்லது 3 MB) மற்றும் சற்று அதிக அதிர்வெண், 900 MHz அல்லது 1 GHz, Itanium போன்ற அதே பணிகளில் ஒன்றரை முதல் இரண்டு மடங்கு அதிக செயல்திறனை வழங்குகிறது. அவர், உண்மையில், IA-64 கட்டிடக்கலையின் முதல் பிரதிநிதி.
மேலும் இணைப்படுத்தல் இன்று மிகவும் நாகரீகமான முறையில் திட்டமிடப்பட்டுள்ளது: செயலி இரண்டு இயற்பியல் கோர்களுக்கு மாற வேண்டும், இது கிட்டத்தட்ட நியாயமான விலையில் செயல்திறனை இரட்டிப்பாக்கும் - குறைந்தபட்சம் அதே எண்ணிக்கையிலான நிர்வாகிகள் தொகுதிகளை விட இதன் விளைவாக மிகவும் மலிவானதாக இருக்கும். , பதிவேடுகள் போன்றவை ஒற்றை சிப்பில் சாதிக்க முயன்றன.

5.2 அத்லான் 64 ஏஎம்டி

முதலில், அத்லான் 64 செயலி என்பது AMD முதலில் வெளியிடத் திட்டமிட்டிருந்த 64-பிட் டெஸ்க்டாப் செயலி என்பதை நாங்கள் கவனிக்கிறோம். அதன்பிறகு, அதிவேக பென்டியம் 4 செயலிகளின் வெளியீடு, 800-மெகா ஹெர்ட்ஸ் பஸ் மற்றும் ஹைப்பர்-த்ரெடிங் தொழில்நுட்பம் ஆகியவற்றின் வெளிச்சத்தில், டெஸ்க்டாப் சந்தையில் ஆப்டெரான் என்ற ஒற்றை செயலியை குறிவைக்க AMD அவசரமாக முடிவு செய்தது. அத்லான் 64 FX. இருப்பினும், அத்லான் 64 எஃப்எக்ஸ், அதன் சர்வர் தோற்றம் காரணமாக, விலை உயர்ந்தது மற்றும் பரவலாகப் பயன்படுத்தப்படவில்லை. அத்லான் 64 தான் AMD64 கட்டமைப்பை வெகுஜன பயன்பாட்டிற்கு உண்மையிலேயே மேம்படுத்த வேண்டும்.
64-பிட் MP Athlon 64 3200+, Athlon 65 FX-51 மற்றும் Athlon XP 3200+ ஆகியவற்றின் விவரக்குறிப்புகளுடன் அட்டவணை 1 கீழே உள்ளது:

அட்டவணை 1

* அத்லான் 64 மற்றும் அத்லான் 64 FX இல் உள்ள நினைவகம் கோர் அதிர்வெண்ணுடன் தொடர்புடையது என்பதை நினைவில் கொள்க, எனவே இந்த விஷயத்தில் உண்மையான நினைவக அதிர்வெண்கள் 129.4, 157.1 மற்றும் 200 மெகா ஹெர்ட்ஸ் ஆகும்.
உண்மையில், அத்லான் 64 அதன் மூத்த சகோதரர் அத்லான் 64 எஃப்எக்ஸிலிருந்து வேறுபடுகிறது, வழக்கின் வடிவம் மற்றும் அளவு கூடுதலாக, நினைவகக் கட்டுப்படுத்தியில் மட்டுமே. இருப்பினும், அதே நேரத்தில், இரண்டு செயலிகளும் ஒரே படிகங்களிலிருந்து தயாரிக்கப்படுகின்றன. அத்லான் 64 இல் உள்ள நினைவகக் கட்டுப்படுத்தி ஒற்றை-சேனலாகும், மேலும் இது அத்லான் 64 FX உடன் ஒப்பிடும்போது அதன் பலவீனம் மற்றும் அதன் நன்மை இரண்டும் ஆகும். அத்லான் 64 இல் உள்ள ஒற்றை-சேனல் நினைவகக் கட்டுப்படுத்தியின் குறைபாடு வெளிப்படையானது: இது குறைந்த கோட்பாட்டு அலைவரிசை ஆகும்.
அத்லான் 64 DDR400 நினைவகத்துடன் வேலை செய்யும் திறன் கொண்டது என்பதைக் கருத்தில் கொண்டு, CPU இல் கட்டமைக்கப்பட்ட நினைவகக் கட்டுப்படுத்தியின் அதிகபட்ச செயல்திறன் வினாடிக்கு 3.2 ஜிபி ஆகும். இது அத்லான் 64 எஃப்எக்ஸின் அதே பண்பை விட இரண்டு மடங்கு குறைவு. அத்லான் 64 மெமரி கன்ட்ரோலரின் நன்மை என்னவென்றால், அத்லான் 64 எஃப்எக்ஸ் கன்ட்ரோலரைப் போலல்லாமல், இது வழக்கமான பதிவு செய்யப்படாத நினைவக தொகுதிகளை ஆதரிக்கிறது. இத்தகைய தொகுதிகள் பதிவு தொகுதிகளை விட மலிவானவை, அதிக ஆக்கிரமிப்பு நேரத்தைக் கொண்டுள்ளன மற்றும் பதிவு தொகுதிகள் போன்ற அதே அமைப்புகளுடன் கூட வேகமாக வேலை செய்கின்றன. அதாவது, அத்லான் 64 மெமரி கன்ட்ரோலரால் வழங்கப்பட்ட குறைந்த அலைவரிசையுடன், அதைப் பயன்படுத்தும் நினைவக துணை அமைப்பு குறைந்த தாமதத்தைக் கொண்டுள்ளது, அதை நாம் கீழே காண்பிப்போம்.
ஏஎம்டி அத்லான் 64 தோற்றம் Opteron மற்றும் Athlon 64 FX போன்றது.
அத்லான் 64 செயலிகள் நிறுவப்பட்டிருப்பதால், அடையாளங்கள் மற்றும் பின்புறத்தில் உள்ள சிறிய எண்ணிக்கையிலான பின்களில் மட்டுமே வேறுபாடுகள் உள்ளன. மதர்போர்டுகள்சாக்கெட் 754 உடன் மற்றும் Athlon 64 FX மற்றும் Opteron CPU குடும்பங்களுக்காக வடிவமைக்கப்பட்ட சாக்கெட் 940 பலகைகளுடன் இணக்கமாக இல்லை.
மேலே பட்டியலிடப்பட்டுள்ள அம்சங்களைத் தவிர, புதிய அத்லான் 64 செயலிகள் மேலும் ஒரு அம்சத்தைக் கொண்டுள்ளன. இந்த செயலிகள் Cool’n’Quiet தொழில்நுட்பத்தை ஆதரிக்கின்றன, இது உண்மையில் இருந்து வந்தது மொபைல் விருப்பங்கள்எம்.பி. சாராம்சத்தில், Cool’n’Quiet என்பது ஒரு வகையான சக்தி சேமிப்பு தொழில்நுட்பம் PowerNow!, இது நீண்ட காலமாக AMD இலிருந்து மொபைல் MP இல் பயன்படுத்தப்படுகிறது. ஆனால் இப்போது இந்த தொழில்நுட்பம் இறுதியாக நிறுவனத்தின் டெஸ்க்டாப் செயலிகளுக்கு வந்துள்ளது. Cool’n’Quiet ஆதரவு என்பது அத்லான் 64 FX/Opteron ஐ விட அத்லான் 64 இன் மற்றொரு நன்மையாகும், இது இதுவரை எந்த ஒத்த தொழில்நுட்பங்களையும் கொண்டிருக்கவில்லை. AMD நீண்ட காலமாக அதன் டெஸ்க்டாப் செயலிகளின் வெப்பச் சிதறல் அளவைக் குறைப்பதில் மிகுந்த கவனம் செலுத்தி வருகிறது.
இந்த விஷயத்தில் நிறுவனம் இன்டெல்லை விட நீண்ட காலமாக சிறந்து விளங்குகிறது என்று சொல்ல வேண்டும்: பழைய பென்டியம் 4 மாடல்களை விட AMD செயலிகளின் பழைய மாடல்கள் அதிகபட்ச சுமையில் கணிசமாக குறைந்த வெப்பத்தை உருவாக்குகின்றன.மேலும், செயலிகள் குறைந்த சுமைகளிலும் வெப்ப உற்பத்தியைக் குறைக்கும் தொழில்நுட்பங்களைப் பயன்படுத்துகின்றன. மேலும் எம்பி குடும்பங்கள்
HALT கட்டளையை இயக்கும் போது அத்லான் XP அமைப்புகள் "காத்திருப்பு பயன்முறையில்" (Halt/Stop Grant) செல்லும் திறனைக் கொண்டிருந்தன, இதன் விளைவாக செயலியின் சுமை 100% க்கும் குறைவாக இருக்கும்போது அதன் வெப்பநிலை குறைகிறது. இருப்பினும், இப்போது AMD இன்னும் மேலே சென்றுள்ளது. புதிய அத்லான் 64 செயலிகள் இன்னும் அறிவார்ந்த வெப்பக் குறைப்புத் திட்டத்தைக் கொண்டுள்ளன.
ஹால்ட்/ஸ்டாப் கிராண்ட் மாநிலங்களுக்கு கூடுதலாக, அத்லான் 64 அதன் கடிகார வேகத்தையும் விநியோக மின்னழுத்தத்தையும் வெப்பச் சிதறலை மேலும் குறைக்க முடியும். இந்த தொழில்நுட்பத்தைப் பயன்படுத்தும் போது, ​​MP கடிகார அதிர்வெண் செயலி இயக்கி மூலம் கட்டுப்படுத்தப்படுகிறது, அதன் சுமை பற்றிய தரவுகளின் அடிப்படையில் அதை மீட்டமைக்கிறது அல்லது அதிகரிக்கிறது. உண்மையில், செயலி தனக்கு ஒதுக்கப்பட்ட வேலையை முழுமையாகச் சமாளித்து, அதன் சுமை 100% க்கும் குறைவாக இருந்தால், ஒட்டுமொத்த அமைப்பின் செயல்பாட்டை பாதிக்காமல் அதன் கடிகார அதிர்வெண்ணைக் குறைக்க முடியும்: இது செயல்பாட்டை பாதிக்காது. எந்த வகையிலும் அமைப்பு. எடுத்துக்காட்டாக, செயலற்ற நிலையில், அலுவலகப் பயன்பாடுகளில் பணிபுரியும் போது, ​​வீடியோக்களைப் பார்ப்பது, டிஸ்க்குகளை டிஃப்ராக்மென்ட் செய்வது மற்றும் அதுபோன்ற பணிகளில் செயலியின் சக்தி முழுமையாகப் பயன்படுத்தப்படுவதில்லை. இதுபோன்ற சந்தர்ப்பங்களில்தான் செயலி இயக்கி அத்லான் 64 ஐ குறைந்த கடிகார வேகத்திற்கு மாற்றுகிறது. செயலியிலிருந்து முழு செயல்திறன் தேவைப்படும்போது, ​​எடுத்துக்காட்டாக, கேம்களில், கணக்கீட்டு சிக்கல்களைத் தீர்க்கும் போது, ​​தரவு குறியாக்கப் பணிகள் போன்றவற்றில், செயலி அதிர்வெண் அதன் பெயரளவு மதிப்பிற்கு உயர்கிறது. Cool’n’Quiet தொழில்நுட்பம் இப்படித்தான் செயல்படுகிறது.
நடைமுறையில் இது போல் தெரிகிறது. சாதாரண நிலைமைகளின் கீழ், குறைந்தபட்ச MP சுமையுடன், செயலி இயக்கி அத்லான் 64 3200+ இன் அதிர்வெண்ணை நிலையான 2 GHz இலிருந்து 800 MHz க்கு மீட்டமைக்கிறது. செயலி வழங்கல் மின்னழுத்தம் பின்னர் 1.3V ஆக குறைக்கப்படுகிறது. நீங்கள் பார்க்க முடியும் என, செயலி பெருக்கியை 4x ஆக குறைப்பதன் மூலம் கடிகார அதிர்வெண் குறைக்கப்படுகிறது. இது, அத்லான் 64 3200+ செயலிகள் நிலையான பெருக்கியுடன் வழங்கப்படுவதாலும் ஆகும். இந்த பயன்முறையில், செயலி அதன் சுமை 70-80% ஐத் தாண்டும் வரை தொடர்ந்து செயல்படுகிறது. குறிப்பாக, 800 மெகா ஹெர்ட்ஸ் வேகத்தில் செயலி தொடர்ந்து இயங்கும்போது, ​​வட்டு டிஃப்ராக்மென்டேஷன், mp3 கோப்புகளை (ஆடியோ கோப்புகள்) பிளேபேக் மற்றும் MPEG-4 (வீடியோ கோப்புகள்) ஆகியவற்றை ஒரே நேரத்தில் பார்க்க முடிந்தது.
800 மெகா ஹெர்ட்ஸ் அதிர்வெண்ணில் அத்லான் 64 செயலியின் சுமை அனுமதிக்கப்பட்ட வரம்பை மீறும் போது, ​​இயக்கி எம்பியை அடுத்த நிலைக்கு மாற்றுகிறது, இதில் அத்லான் 64 3200+ இன் அதிர்வெண் 1.8 ஜிகாஹெர்ட்ஸ் மற்றும் விநியோக மின்னழுத்தம் 1.4 வி. பெருக்கியை குறைப்பதன் மூலம் இது மீண்டும் அடையப்படுகிறது, இந்த முறை 9x ஆக உள்ளது. இந்த வழக்கில் செயலி சுமை மீண்டும் அதிகமாக இருந்தால் மட்டுமே, இயக்கி MP ஐ சாதாரண பயன்முறைக்கு மாற்றுகிறது: அதிர்வெண் 2 GHz, விநியோக மின்னழுத்தம் - 1.5V.
குறைக்கப்பட்ட சக்தி மற்றும் அதிர்வெண் கொண்ட முறைகளில், அத்லான் 64 3200+ செயலியின் வெப்பச் சிதறல் கடுமையாக குறைகிறது. ஒப்பிடுகையில், முக்கிய முறைகளில் இந்த செயலியின் வெப்பச் சிதறலுடன் அட்டவணை 4 ஐ வழங்குகிறோம்.

எனவே, Cool'n'Quiet தொழில்நுட்பத்தின் பயன்பாடு செயலிழந்த தருணங்களில் மட்டுமல்லாமல், MP யின் அதிகபட்ச செயல்திறன் தேவையில்லாத பல பணிகளின் போது செயலியின் வெப்பநிலையை கணிசமாகக் குறைக்க உங்களை அனுமதிக்கிறது. முக்கியமான விஷயம் என்னவென்றால், செயலி வளங்கள் தேவைப்படும் பணிகளில் எம்பியின் செயல்திறன் குறையவே இல்லை. இதன் விளைவாக, மாறி வேக விசிறிகளுடன் குளிரூட்டும் அமைப்புகளைப் பயன்படுத்தும் போது, ​​Cool’n'Quiet தொழில்நுட்பத்தைப் பயன்படுத்துவது சத்தத்தின் அளவைக் கணிசமாகக் குறைக்கும்.